Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : edn
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 83.59 83.33 100.00 67.44



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.13 98.27 93.63 96.79 82.66 96.87 96.58


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
edn_csr_assert 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_edn_core 90.66 99.92 92.15 70.79 82.66 99.55 98.88
u_edn_cov_if 25.00 50.00 0.00
u_reg 96.95 95.02 97.57 100.00 92.16 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Cond Coverage for Module : edn
TotalCoveredPercent
Conditions6583.33
Logical6583.33
Non-Logical00
Event00

 LINE       98
 EXPRESSION (alert[0] || intg_err_alert[0])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT18,T19,T20

 LINE       98
 EXPRESSION (alert[1] || intg_err_alert[1])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT16,T21,T22
10CoveredT4,T5,T6

Toggle Coverage for Module : edn
TotalCoveredPercent
Totals 69 69 100.00
Total Bits 1168 1168 100.00
Total Bits 0->1 584 584 100.00
Total Bits 1->0 584 584 100.00

Ports 69 69 100.00
Port Bits 1168 1168 100.00
Port Bits 0->1 584 584 100.00
Port Bits 1->0 584 584 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T4,T5,T6 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T3,T7 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T7,T23,T5 Yes T7,T23,T5 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T3,T7 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T3,T7 Yes T1,T3,T7 INPUT
tl_i.a_address[31:0] Yes Yes T1,T7,T24 Yes T1,T7,T24 INPUT
tl_i.a_source[7:0] Yes Yes T1,T3,T7 Yes T1,T3,T7 INPUT
tl_i.a_size[1:0] Yes Yes T1,T3,T7 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T7,T24 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T25,T26,T27 Yes T25,T26,T27 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T3,T7 Yes T1,T3,T7 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T3,T7 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T3,T7 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T3,*T7 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i[0].edn_req Yes Yes T1,T3,T7 Yes T1,T3,T7 INPUT
edn_i[1].edn_req Yes Yes T28,T15,T29 Yes T28,T15,T29 INPUT
edn_i[2].edn_req Yes Yes T15,T30,T31 Yes T15,T30,T31 INPUT
edn_i[3].edn_req Yes Yes T11,T15,T8 Yes T11,T15,T8 INPUT
edn_i[4].edn_req Yes Yes T24,T15,T30 Yes T24,T15,T30 INPUT
edn_i[5].edn_req Yes Yes T24,T10,T32 Yes T24,T10,T32 INPUT
edn_i[6].edn_req Yes Yes T2,T28,T33 Yes T2,T28,T33 INPUT
edn_o[0].edn_bus[31:0] Yes Yes T1,T7,T10 Yes T1,T3,T7 OUTPUT
edn_o[0].edn_fips Yes Yes T7,T28,T25 Yes T1,T7,T11 OUTPUT
edn_o[0].edn_ack Yes Yes T1,T3,T7 Yes T1,T3,T7 OUTPUT
edn_o[1].edn_bus[31:0] Yes Yes T28,T15,T34 Yes T28,T15,T29 OUTPUT
edn_o[1].edn_fips Yes Yes T15,T35,T36 Yes T15,T29,T35 OUTPUT
edn_o[1].edn_ack Yes Yes T28,T15,T29 Yes T28,T15,T29 OUTPUT
edn_o[2].edn_bus[31:0] Yes Yes T15,T29,T34 Yes T15,T30,T29 OUTPUT
edn_o[2].edn_fips Yes Yes T15,T29,T37 Yes T15,T30,T29 OUTPUT
edn_o[2].edn_ack Yes Yes T15,T30,T29 Yes T15,T30,T29 OUTPUT
edn_o[3].edn_bus[31:0] Yes Yes T11,T15,T38 Yes T11,T15,T38 OUTPUT
edn_o[3].edn_fips Yes Yes T11,T15,T18 Yes T11,T15,T18 OUTPUT
edn_o[3].edn_ack Yes Yes T11,T15,T38 Yes T11,T15,T38 OUTPUT
edn_o[4].edn_bus[31:0] Yes Yes T24,T15,T30 Yes T24,T15,T30 OUTPUT
edn_o[4].edn_fips Yes Yes T29,T39,T35 Yes T24,T15,T30 OUTPUT
edn_o[4].edn_ack Yes Yes T24,T15,T30 Yes T24,T15,T30 OUTPUT
edn_o[5].edn_bus[31:0] Yes Yes T24,T10,T32 Yes T24,T10,T32 OUTPUT
edn_o[5].edn_fips Yes Yes T24,T10,T39 Yes T24,T10,T32 OUTPUT
edn_o[5].edn_ack Yes Yes T24,T10,T32 Yes T24,T10,T32 OUTPUT
edn_o[6].edn_bus[31:0] Yes Yes T2,T28,T35 Yes T2,T28,T33 OUTPUT
edn_o[6].edn_fips Yes Yes T35,T40,T41 Yes T28,T33,T35 OUTPUT
edn_o[6].edn_ack Yes Yes T2,T28,T33 Yes T2,T28,T33 OUTPUT
csrng_cmd_o.genbits_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_o.csrng_req_bus[31:0] Yes Yes T1,T7,T24 Yes T1,T2,T3 OUTPUT
csrng_cmd_o.csrng_req_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_i.genbits_bus[127:0] Yes Yes T7,T24,T10 Yes T7,T24,T10 INPUT
csrng_cmd_i.genbits_fips Yes Yes T7,T24,T10 Yes T7,T24,T10 INPUT
csrng_cmd_i.genbits_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
csrng_cmd_i.csrng_rsp_sts Yes Yes T18,T19,T20 Yes T18,T19,T20 INPUT
csrng_cmd_i.csrng_rsp_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
csrng_cmd_i.csrng_req_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T23,T42,T18 Yes T23,T42,T18 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T4,T23,T5 Yes T4,T23,T5 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T23,T42,T18 Yes T23,T42,T18 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T4,T23,T5 Yes T4,T23,T5 OUTPUT
intr_edn_cmd_req_done_o Yes Yes T25,T26,T43 Yes T25,T26,T43 OUTPUT
intr_edn_fatal_err_o Yes Yes T25,T44,T26 Yes T25,T44,T26 OUTPUT

*Tests covering at least one bit in the range

Assert Coverage for Module : edn
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 43 43 100.00 29 67.44
Cover properties 0 0 0
Cover sequences 0 0 0
Total 43 43 100.00 29 67.44




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnownO_A 198141863 197989626 0 0
CsrngAppIfOut_A 198141863 197989626 0 0
FpvSecCmCntAlertCheck_A 198141863 121 0 0
FpvSecCmMainFsmCheck_A 198141863 80 0 0
FpvSecCmRegWeOnehotCheck_A 198141863 80 0 0
IntrEdnCmdReqDoneKnownO_A 198141863 197989626 0 0
TlAReadyKnownO_A 198141863 197989626 0 0
TlDValidKnownO_A 198141863 197989626 0 0
gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A 198141863 80 0 0
gen_edn_if_asserts[0].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[0].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[0].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A 198141863 133161 0 0
gen_edn_if_asserts[1].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[1].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[1].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A 198141863 133161 0 0
gen_edn_if_asserts[2].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[2].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[2].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A 198141863 133161 0 0
gen_edn_if_asserts[3].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[3].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[3].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A 198141863 133161 0 0
gen_edn_if_asserts[4].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[4].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[4].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A 198141863 133161 0 0
gen_edn_if_asserts[5].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[5].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[5].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A 198141863 133161 0 0
gen_edn_if_asserts[6].EdnDataStableDisable_A 198141863 0 0 0
gen_edn_if_asserts[6].EdnDataStable_A 198141863 0 0 0
gen_edn_if_asserts[6].EdnEndPointOut_A 198141863 197989626 0 0
gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A 198141863 133161 0 0


AlertTxKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

CsrngAppIfOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

FpvSecCmCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 121 0 0
T9 0 1 0 0
T16 16180 10 0 0
T17 0 1 0 0
T18 2392 0 0 0
T21 0 20 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T45 0 1 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 0 1 0 0
T50 0 1 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0

FpvSecCmMainFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

IntrEdnCmdReqDoneKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

TlAReadyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

TlDValidKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 80 0 0
T16 16180 10 0 0
T18 2392 0 0 0
T21 0 20 0 0
T22 0 10 0 0
T25 121557 0 0 0
T38 2334 0 0 0
T42 1312 0 0 0
T44 1121 0 0 0
T51 2137 0 0 0
T52 10663 0 0 0
T53 1560 0 0 0
T54 3290 0 0 0
T55 0 20 0 0
T56 0 20 0 0

gen_edn_if_asserts[0].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[0].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[0].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

gen_edn_if_asserts[1].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[1].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[1].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

gen_edn_if_asserts[2].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[2].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[2].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

gen_edn_if_asserts[3].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[3].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[3].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

gen_edn_if_asserts[4].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[4].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[4].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

gen_edn_if_asserts[5].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[5].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[5].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

gen_edn_if_asserts[6].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[6].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 0 0 0

gen_edn_if_asserts[6].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 197989626 0 0
T1 2122 2026 0 0
T2 806 748 0 0
T3 999 940 0 0
T4 471 321 0 0
T7 1396 1340 0 0
T10 6215 6143 0 0
T11 6541 6480 0 0
T23 1345 1252 0 0
T24 2900 2844 0 0
T32 1000 946 0 0

gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 198141863 133161 0 0
T4 471 197 0 0
T5 1827 1115 0 0
T6 1213 602 0 0
T8 0 352 0 0
T9 0 1167 0 0
T10 6215 0 0 0
T11 6541 0 0 0
T15 8871 0 0 0
T16 0 4953 0 0
T23 1345 0 0 0
T28 2277 0 0 0
T31 0 1092 0 0
T32 1000 0 0 0
T44 0 7 0 0
T57 0 1166 0 0
T58 0 30 0 0
T59 1272 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%