Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : edn
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 83.59 83.33 100.00 67.44



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.86 98.27 93.71 96.79 80.92 96.87 96.58


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
edn_csr_assert 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_edn_core 90.39 99.92 92.29 70.79 80.92 99.55 98.88
u_edn_cov_if 25.00 50.00 0.00
u_reg 96.95 95.02 97.57 100.00 92.16 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Cond Coverage for Module : edn
TotalCoveredPercent
Conditions6583.33
Logical6583.33
Non-Logical00
Event00

 LINE       98
 EXPRESSION (alert[0] || intg_err_alert[0])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT8,T12,T13

 LINE       98
 EXPRESSION (alert[1] || intg_err_alert[1])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT4,T14,T15
10CoveredT1,T3,T4

Toggle Coverage for Module : edn
TotalCoveredPercent
Totals 69 69 100.00
Total Bits 1170 1170 100.00
Total Bits 0->1 585 585 100.00
Total Bits 1->0 585 585 100.00

Ports 69 69 100.00
Port Bits 1170 1170 100.00
Port Bits 0->1 585 585 100.00
Port Bits 1->0 585 585 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T3,T4 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T4,T7,T9 Yes T4,T7,T9 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T16,T17,T18 Yes T16,T17,T18 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i[0].edn_req Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
edn_i[1].edn_req Yes Yes T3,T19,T20 Yes T3,T19,T20 INPUT
edn_i[2].edn_req Yes Yes T21,T20,T22 Yes T21,T20,T22 INPUT
edn_i[3].edn_req Yes Yes T19,T23,T24 Yes T19,T23,T24 INPUT
edn_i[4].edn_req Yes Yes T2,T9,T19 Yes T2,T9,T19 INPUT
edn_i[5].edn_req Yes Yes T2,T25,T26 Yes T2,T25,T26 INPUT
edn_i[6].edn_req Yes Yes T2,T9,T19 Yes T2,T9,T19 INPUT
edn_o[0].edn_bus[31:0] Yes Yes T7,T8,T27 Yes T2,T7,T8 OUTPUT
edn_o[0].edn_fips Yes Yes T1,T7,T27 Yes T1,T2,T7 OUTPUT
edn_o[0].edn_ack Yes Yes T1,T2,T7 Yes T1,T2,T7 OUTPUT
edn_o[1].edn_bus[31:0] Yes Yes T3,T19,T20 Yes T3,T19,T20 OUTPUT
edn_o[1].edn_fips Yes Yes T28,T29,T30 Yes T28,T29,T31 OUTPUT
edn_o[1].edn_ack Yes Yes T19,T20,T28 Yes T19,T20,T28 OUTPUT
edn_o[2].edn_bus[31:0] Yes Yes T20,T22,T24 Yes T20,T22,T24 OUTPUT
edn_o[2].edn_fips Yes Yes T20,T24,T32 Yes T20,T24,T32 OUTPUT
edn_o[2].edn_ack Yes Yes T21,T20,T22 Yes T21,T20,T22 OUTPUT
edn_o[3].edn_bus[31:0] Yes Yes T19,T24,T31 Yes T19,T24,T31 OUTPUT
edn_o[3].edn_fips Yes Yes T19,T24,T33 Yes T19,T24,T33 OUTPUT
edn_o[3].edn_ack Yes Yes T19,T24,T31 Yes T19,T24,T31 OUTPUT
edn_o[4].edn_bus[31:0] Yes Yes T2,T9,T19 Yes T2,T9,T19 OUTPUT
edn_o[4].edn_fips Yes Yes T31,T34,T35 Yes T9,T19,T31 OUTPUT
edn_o[4].edn_ack Yes Yes T2,T9,T19 Yes T2,T9,T19 OUTPUT
edn_o[5].edn_bus[31:0] Yes Yes T2,T33,T30 Yes T2,T33,T30 OUTPUT
edn_o[5].edn_fips Yes Yes T36,T11,T37 Yes T36,T38,T11 OUTPUT
edn_o[5].edn_ack Yes Yes T2,T25,T33 Yes T2,T25,T33 OUTPUT
edn_o[6].edn_bus[31:0] Yes Yes T2,T9,T19 Yes T2,T9,T19 OUTPUT
edn_o[6].edn_fips Yes Yes T2,T9,T19 Yes T2,T9,T19 OUTPUT
edn_o[6].edn_ack Yes Yes T2,T9,T19 Yes T2,T9,T19 OUTPUT
csrng_cmd_o.genbits_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_o.csrng_req_bus[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_o.csrng_req_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
csrng_cmd_i.genbits_bus[127:0] Yes Yes T2,T7,T9 Yes T2,T7,T9 INPUT
csrng_cmd_i.genbits_fips Yes Yes T2,T7,T9 Yes T2,T7,T9 INPUT
csrng_cmd_i.genbits_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
csrng_cmd_i.csrng_rsp_sts[1:0] Yes Yes T13,T39,T40 Yes T13,T39,T40 INPUT
csrng_cmd_i.csrng_rsp_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
csrng_cmd_i.csrng_req_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T8,T41,T42 Yes T8,T41,T42 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T1,T3,T4 Yes T1,T3,T4 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T8,T41,T42 Yes T8,T41,T42 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
intr_edn_cmd_req_done_o Yes Yes T16,T17,T18 Yes T16,T17,T18 OUTPUT
intr_edn_fatal_err_o Yes Yes T1,T25,T43 Yes T1,T25,T43 OUTPUT

*Tests covering at least one bit in the range

Assert Coverage for Module : edn
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 43 43 100.00 29 67.44
Cover properties 0 0 0
Cover sequences 0 0 0
Total 43 43 100.00 29 67.44




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnownO_A 214905362 214745414 0 0
CsrngAppIfOut_A 214905362 214745414 0 0
FpvSecCmCntAlertCheck_A 214905362 134 0 0
FpvSecCmMainFsmCheck_A 214905362 90 0 0
FpvSecCmRegWeOnehotCheck_A 214905362 90 0 0
IntrEdnCmdReqDoneKnownO_A 214905362 214745414 0 0
TlAReadyKnownO_A 214905362 214745414 0 0
TlDValidKnownO_A 214905362 214745414 0 0
gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A 214905362 90 0 0
gen_edn_if_asserts[0].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[0].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[0].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A 214905362 147564 0 0
gen_edn_if_asserts[1].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[1].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[1].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A 214905362 147564 0 0
gen_edn_if_asserts[2].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[2].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[2].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A 214905362 147564 0 0
gen_edn_if_asserts[3].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[3].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[3].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A 214905362 147564 0 0
gen_edn_if_asserts[4].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[4].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[4].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A 214905362 147564 0 0
gen_edn_if_asserts[5].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[5].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[5].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A 214905362 147564 0 0
gen_edn_if_asserts[6].EdnDataStableDisable_A 214905362 0 0 0
gen_edn_if_asserts[6].EdnDataStable_A 214905362 0 0 0
gen_edn_if_asserts[6].EdnEndPointOut_A 214905362 214745414 0 0
gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A 214905362 147564 0 0


AlertTxKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

CsrngAppIfOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

FpvSecCmCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 134 0 0
T1 833 1 0 0
T2 3344 0 0 0
T3 819 1 0 0
T4 33110 20 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T23 369 0 0 0
T29 0 1 0 0
T44 1840 0 0 0
T45 0 1 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 0 1 0 0
T50 0 1 0 0

FpvSecCmMainFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

IntrEdnCmdReqDoneKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

TlAReadyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

TlDValidKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 90 0 0
T4 33110 20 0 0
T5 1206 0 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T14 0 20 0 0
T15 0 20 0 0
T19 7573 0 0 0
T23 369 0 0 0
T25 2090 0 0 0
T44 1840 0 0 0
T45 1200 0 0 0
T51 0 20 0 0
T52 0 10 0 0

gen_edn_if_asserts[0].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[0].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[0].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

gen_edn_if_asserts[1].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[1].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[1].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

gen_edn_if_asserts[2].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[2].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[2].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

gen_edn_if_asserts[3].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[3].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[3].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

gen_edn_if_asserts[4].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[4].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[4].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

gen_edn_if_asserts[5].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[5].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[5].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

gen_edn_if_asserts[6].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[6].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 0 0 0

gen_edn_if_asserts[6].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 214745414 0 0
T1 833 640 0 0
T2 3344 3254 0 0
T3 819 653 0 0
T4 33110 18244 0 0
T7 1818 1750 0 0
T8 2215 2153 0 0
T9 2296 2200 0 0
T19 7573 7497 0 0
T23 369 256 0 0
T44 1840 1746 0 0

gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214905362 147564 0 0
T1 833 350 0 0
T2 3344 0 0 0
T3 819 354 0 0
T4 33110 12822 0 0
T5 0 592 0 0
T7 1818 0 0 0
T8 2215 0 0 0
T9 2296 0 0 0
T19 7573 0 0 0
T21 0 35 0 0
T23 369 182 0 0
T25 0 19 0 0
T43 0 7 0 0
T44 1840 0 0 0
T45 0 614 0 0
T53 0 22 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%