Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : hmac
SCORELINECONDTOGGLEFSMBRANCHASSERT
84.36 95.88 82.12 100.00 40.00 88.17 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 84.84 95.88 84.97 100.00 40.00 88.17 100.00



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
84.84 95.88 84.97 100.00 40.00 88.17 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.13 94.84 92.14 100.00 76.92 89.38 99.49


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
hmac_csr_assert 100.00 100.00
intr_hw_fifo_empty 100.00 100.00 100.00 100.00 100.00
intr_hw_hmac_done 100.00 100.00 100.00 100.00 100.00
intr_hw_hmac_err 100.00 100.00 100.00 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_hmac 88.20 93.53 86.85 88.89 83.52
u_msg_fifo 100.00 100.00 100.00 100.00 100.00
u_packer 100.00 100.00 100.00 100.00 100.00
u_prim_sha2_512 86.48 93.28 86.98 80.00 85.64
u_reg 98.21 94.86 97.48 100.00 98.72 100.00
u_tlul_adapter 88.51 94.09 86.85 80.25 92.86

Line Coverage for Module : hmac
Line No.TotalCoveredPercent
TOTAL19418695.88
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN13811100.00
ALWAYS15015960.00
ALWAYS19233100.00
CONT_ASSIGN19911100.00
CONT_ASSIGN20011100.00
ALWAYS20477100.00
ALWAYS21933100.00
ALWAYS2311919100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN28211100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN28511100.00
ALWAYS28755100.00
CONT_ASSIGN30111100.00
ALWAYS30433100.00
CONT_ASSIGN30811100.00
ALWAYS31077100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN32711100.00
CONT_ASSIGN32911100.00
CONT_ASSIGN33011100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33211100.00
CONT_ASSIGN33311100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33511100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN33811100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34011100.00
CONT_ASSIGN34311100.00
CONT_ASSIGN34411100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35111100.00
CONT_ASSIGN35211100.00
ALWAYS35566100.00
ALWAYS36544100.00
ALWAYS40466100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46811100.00
CONT_ASSIGN47011100.00
ALWAYS47355100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51711100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN52811100.00
CONT_ASSIGN52911100.00
CONT_ASSIGN53111100.00
CONT_ASSIGN53211100.00
CONT_ASSIGN53311100.00
ALWAYS53888100.00
CONT_ASSIGN61211100.00
ALWAYS61733100.00
ALWAYS62533100.00
ALWAYS63010880.00
CONT_ASSIGN64711100.00
CONT_ASSIGN64811100.00
CONT_ASSIGN65511100.00
CONT_ASSIGN65611100.00
CONT_ASSIGN76611100.00
CONT_ASSIGN79511100.00
CONT_ASSIGN79611100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80211100.00
CONT_ASSIGN80711100.00
ALWAYS81066100.00
CONT_ASSIGN82611100.00
ALWAYS83277100.00
CONT_ASSIGN87511100.00
CONT_ASSIGN87911100.00
ALWAYS88133100.00
CONT_ASSIGN88711100.00
ALWAYS90966100.00
ALWAYS91666100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv' or '../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
135 1 1
136 1 1
137 1 1
138 1 1
150 1 1
151 1 1
153 1 1
155 1 1
157 1 1
158 1 1
160 0 1
MISSING_ELSE
165 1 1
166 1 1
167 1 1
MISSING_ELSE
172 0 1
176 0 1
==> MISSING_ELSE
181 0 1
182 0 1
183 0 1
==> MISSING_ELSE
192 1 1
193 1 1
195 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
209 1 1
210 1 1
212 1 1
MISSING_ELSE
MISSING_ELSE
219 2 2
220 1 1
231 1 1
232 1 1
234 1 1
236 1 1
240 1 1
242 1 1
243 1 1
244 1 1
246 1 1
249 1 1
252 1 1
MISSING_ELSE
256 1 1
257 1 1
261 1 1
262 1 1
266 1 1
267 1 1
270 1 1
271 1 1
277 1 1
282 1 1
283 1 1
285 1 1
287 1 1
289 1 1
290 1 1
291 1 1
292 1 1
301 1 1
304 2 2
305 1 1
308 1 1
310 1 1
312 1 1
313 1 1
314 1 1
315 1 1
316 1 1
317 1 1
325 1 1
326 1 1
327 1 1
329 1 1
330 1 1
331 1 1
332 1 1
333 1 1
334 1 1
335 1 1
337 1 1
338 1 1
339 1 1
340 1 1
343 1 1
344 1 1
349 1 1
350 1 1
351 1 1
352 1 1
355 1 1
356 1 1
357 1 1
358 1 1
359 1 1
360 1 1
MISSING_ELSE
365 1 1
366 1 1
397 1 1
398 1 1
MISSING_ELSE
404 1 1
405 1 1
406 1 1
407 1 1
408 1 1
409 1 1
MISSING_ELSE
453 1 1
460 1 1
468 1 1
470 1 1
473 1 1
474 1 1
475 1 1
477 1 1
478 1 1
514 1 1
517 1 1
523 1 1
528 1 1
529 1 1
531 1 1
532 1 1
533 1 1
538 1 1
540 1 1
541 1 1
542 1 1
544 1 1
545 1 1
547 1 1
548 1 1
==> MISSING_ELSE
MISSING_ELSE
612 1 1
617 1 1
618 1 1
619 1 1
625 2 2
626 1 1
630 1 1
631 1 1
632 1 1
633 0 1
MISSING_ELSE
635 1 1
636 0 1
MISSING_ELSE
MISSING_ELSE
640 1 1
641 1 1
642 1 1
643 1 1
MISSING_ELSE
647 1 1
648 1 1
655 1 1
656 1 1
766 1 1
795 1 1
796 1 1
797 1 1
802 1 1
807 1 1
810 1 1
811 1 1
812 1 1
813 1 1
814 1 1
MISSING_ELSE
818 1 1
826 1 1
832 1 1
834 1 1
837 1 1
841 1 1
845 1 1
849 1 1
853 1 1
875 1 1
879 1 1
881 1 1
882 1 1
884 1 1
887 1 1
909 2 2
910 2 2
911 2 2
MISSING_ELSE
916 2 2
917 2 2
918 2 2
MISSING_ELSE


Cond Coverage for Module : hmac
TotalCoveredPercent
Conditions17914782.12
Logical17914782.12
Non-Logical00
Event00

 LINE       240
 EXPRESSION (digest_size == SHA2_256)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       244
 EXPRESSION ((digest_size == SHA2_384) || (digest_size == SHA2_512))
             ------------1------------    ------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       244
 SUB-EXPRESSION (digest_size == SHA2_384)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       244
 SUB-EXPRESSION (digest_size == SHA2_512)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       246
 EXPRESSION (reg2hw.digest[(2 * i)].qe ? prim_sha2_pkg::conv_endian32(reg2hw.digest[(2 * i)].q, digest_swap) : digest[i][63:32])
             ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       249
 EXPRESSION (reg2hw.digest[((2 * i) + 1)].qe ? prim_sha2_pkg::conv_endian32(reg2hw.digest[((2 * i) + 1)].q, digest_swap) : digest[i][31:0])
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       252
 EXPRESSION (reg2hw.digest[(2 * i)].qe | reg2hw.digest[((2 * i) + 1)].qe)
             ------------1------------   ---------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       256
 EXPRESSION (digest_size_started_q == SHA2_256)
            -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       262
 EXPRESSION ((digest_size_started_q == SHA2_384) || (digest_size_started_q == SHA2_512))
             -----------------1-----------------    -----------------2-----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       262
 SUB-EXPRESSION (digest_size_started_q == SHA2_384)
                -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       262
 SUB-EXPRESSION (digest_size_started_q == SHA2_512)
                -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       301
 EXPRESSION (hash_start_or_continue ? digest_size : digest_size_started_q)
             -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       337
 EXPRESSION (reg2hw.cmd.hash_start.qe & reg2hw.cmd.hash_start.q)
             ------------1-----------   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       338
 EXPRESSION (reg2hw.cmd.hash_stop.qe & reg2hw.cmd.hash_stop.q)
             -----------1-----------   -----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       339
 EXPRESSION (reg2hw.cmd.hash_continue.qe & reg2hw.cmd.hash_continue.q)
             -------------1-------------   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       340
 EXPRESSION (reg2hw.cmd.hash_process.qe & reg2hw.cmd.hash_process.q)
             -------------1------------   ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION (reg_hash_start & sha_en & ((~cfg_block)) & ((~invalid_config)))
             -------1------   ---2--   -------3------   ---------4---------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011CoveredT2,T14,T15
1101CoveredT2,T14,T16
1110CoveredT1,T2,T3
1111CoveredT1,T2,T3

 LINE       350
 EXPRESSION (reg_hash_continue & sha_en & ((~cfg_block)) & ((~invalid_config)))
             --------1--------   ---2--   -------3------   ---------4---------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101Not Covered
1110Not Covered
1111Not Covered

 LINE       351
 EXPRESSION (reg_hash_process & sha_en & cfg_block & ((~invalid_config)))
             --------1-------   ---2--   ----3----   ---------4---------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT1,T2,T3

 LINE       352
 EXPRESSION (hash_start | hash_continue)
             -----1----   ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       359
 EXPRESSION (reg_hash_done || reg_hash_stop)
             ------1------    ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       397
 EXPRESSION (((!cfg_block)) && reg2hw.cfg.hmac_en.qe)
             -------1------    ----------2----------
-1--2-StatusTests
01CoveredT2,T5,T14
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       453
 EXPRESSION (fifo_empty_q & ((~fifo_empty)))
             ------1-----   -------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       460
 EXPRESSION 
 Number  Term
      1  fifo_full ? 1'b1 : (fifo_empty_negedge ? 1'b0 : ((reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop) ? 1'b0 : fifo_full_seen_q)))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 SUB-EXPRESSION (fifo_empty_negedge ? 1'b0 : ((reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop) ? 1'b0 : fifo_full_seen_q))
                 ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 SUB-EXPRESSION ((reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop) ? 1'b0 : fifo_full_seen_q)
                 -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 SUB-EXPRESSION (reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop)
                 -------1------    --------2--------    --------3-------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Not Covered
0010CoveredT1,T2,T3
0100Not Covered
1000CoveredT1,T2,T3

 LINE       468
 EXPRESSION (((~msg_allowed)) || ((~fifo_full_seen_q)))
             --------1-------    ----------2----------
-1--2-StatusTests
00CoveredT3,T6,T17
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       470
 EXPRESSION (fifo_empty_gate ? 1'b0 : fifo_empty)
             -------1-------
-1-StatusTests
0CoveredT3,T6,T17
1CoveredT1,T2,T3

 LINE       514
 EXPRESSION (msg_fifo_req & ((~msg_fifo_we)))
             ------1-----   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       517
 EXPRESSION (msg_fifo_req & ((~hmac_fifo_wsel)) & packer_ready)
             ------1-----   ---------2---------   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110UnreachableT3,T6,T17
111CoveredT1,T2,T3

 LINE       533
 EXPRESSION ((hmac_fifo_wsel && fifo_wready) ? hmac_fifo_wvalid : reg_fifo_wvalid)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       533
 SUB-EXPRESSION (hmac_fifo_wsel && fifo_wready)
                 -------1------    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       542
 EXPRESSION (digest_size == SHA2_256)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       545
 EXPRESSION ((digest_size == SHA2_384) || (digest_size == SHA2_512))
             ------------1------------    ------------2------------
-1--2-StatusTests
00Not Covered
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       545
 SUB-EXPRESSION (digest_size == SHA2_384)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       545
 SUB-EXPRESSION (digest_size == SHA2_512)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       559
 EXPRESSION (fifo_wvalid & sha_en)
             -----1-----   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       612
 EXPRESSION (msg_fifo_req & msg_fifo_we & ((~hmac_fifo_wsel)) & msg_allowed)
             ------1-----   -----2-----   ---------3---------   -----4-----
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101Not Covered
1110CoveredT1,T2,T3
1111CoveredT1,T2,T3

 LINE       642
 EXPRESSION (msg_write && sha_en && packer_ready)
             ----1----    ---2--    ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110UnreachableT3,T6,T17
111CoveredT1,T2,T3

 LINE       662
 EXPRESSION (msg_write & sha_en)
             ----1----   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       662
 EXPRESSION (fifo_wready & ((~hmac_fifo_wsel)))
             -----1-----   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       766
 SUB-EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
                 ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT18,T19,T20
10CoveredT1,T2,T3
11CoveredT18,T19,T20

 LINE       795
 EXPRESSION ((reg_hash_start | reg_hash_continue) & ((~sha_en)))
             ------------------1-----------------   -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T14,T16

 LINE       795
 SUB-EXPRESSION (reg_hash_start | reg_hash_continue)
                 -------1------   --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       796
 EXPRESSION ((reg_hash_start | reg_hash_continue) & cfg_block)
             ------------------1-----------------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T14,T16

 LINE       796
 SUB-EXPRESSION (reg_hash_start | reg_hash_continue)
                 -------1------   --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       797
 EXPRESSION (msg_fifo_req & ((~msg_allowed)))
             ------1-----   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       802
 EXPRESSION ((digest_size == SHA2_None) | ((key_length == Key_None) && hmac_en) | ((key_length == Key_1024) && (digest_size == SHA2_256) && hmac_en))
             -------------1------------   ------------------2------------------   ---------------------------------3--------------------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT3,T6,T15
010CoveredT1,T2,T3
100CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION (digest_size == SHA2_None)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION ((key_length == Key_None) && hmac_en)
                 ------------1-----------    ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION (key_length == Key_None)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION ((key_length == Key_1024) && (digest_size == SHA2_256) && hmac_en)
                 ------------1-----------    ------------2------------    ---3---
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T3,T4
110CoveredT3,T4,T21
111CoveredT3,T6,T15

 LINE       802
 SUB-EXPRESSION (key_length == Key_1024)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       802
 SUB-EXPRESSION (digest_size == SHA2_256)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       807
 EXPRESSION ((reg_hash_start || reg_hash_continue) & invalid_config)
             ------------------1------------------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       807
 SUB-EXPRESSION (reg_hash_start || reg_hash_continue)
                 -------1------    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       826
 EXPRESSION 
 Number  Term
      1  ((~reg2hw.intr_state.hmac_err.q)) & 
      2  (hash_start_sha_disabled | update_seckey_inprocess | hash_start_active | msg_push_not_allowed | invalid_config_atstart))
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       826
 SUB-EXPRESSION (hash_start_sha_disabled | update_seckey_inprocess | hash_start_active | msg_push_not_allowed | invalid_config_atstart)
                 -----------1-----------   -----------2-----------   --------3--------   ----------4---------   -----------5----------
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001CoveredT1,T2,T3
00010CoveredT1,T2,T3
00100CoveredT2,T14,T16
01000CoveredT2,T5,T14
10000CoveredT2,T14,T15

 LINE       875
 EXPRESSION (((!reg_fifo_wvalid)) && ((!fifo_rvalid)) && hmac_core_idle && sha_core_idle)
             ----------1---------    --------2-------    -------3------    ------4------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT2,T3,T4
1111CoveredT1,T2,T3

 LINE       918
 EXPRESSION (hash_process || reg_hash_stop)
             ------1-----    ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

Toggle Coverage for Module : hmac
TotalCoveredPercent
Totals 30 30 100.00
Total Bits 346 346 100.00
Total Bits 0->1 173 173 100.00
Total Bits 1->0 173 173 100.00

Ports 30 30 100.00
Port Bits 346 346 100.00
Port Bits 0->1 173 173 100.00
Port Bits 1->0 173 173 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T22,T23 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T2,T3,T22 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T2,T22,T24 Yes T2,T22,T24 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T10,T11,T25 Yes T10,T11,T25 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T22,T23,T18 Yes T22,T23,T18 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T22,T23,T18 Yes T22,T23,T18 OUTPUT
intr_hmac_done_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_fifo_empty_o Yes Yes T3,T21,T26 Yes T3,T21,T26 OUTPUT
intr_hmac_err_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
idle_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

FSM Coverage for Module : hmac
Summary for FSM :: done_state_q
TotalCoveredPercent
States 4 2 50.00 (Not included in score)
Transitions 5 2 40.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: done_state_q
statesLine No.CoveredTests
DoneAwaitCmd 167 Covered T1,T2,T3
DoneAwaitHashComplete 176 Not Covered
DoneAwaitHashDone 157 Covered T1,T2,T3
DoneAwaitMessageComplete 160 Not Covered


transitionsLine No.CoveredTests
DoneAwaitCmd->DoneAwaitHashDone 157 Covered T1,T2,T3
DoneAwaitCmd->DoneAwaitMessageComplete 160 Not Covered
DoneAwaitHashComplete->DoneAwaitCmd 183 Not Covered
DoneAwaitHashDone->DoneAwaitCmd 167 Covered T1,T2,T3
DoneAwaitMessageComplete->DoneAwaitHashComplete 176 Not Covered



Branch Coverage for Module : hmac
Line No.TotalCoveredPercent
Branches 93 82 88.17
TERNARY 301 2 2 100.00
TERNARY 460 4 4 100.00
TERNARY 470 2 2 100.00
TERNARY 533 2 2 100.00
CASE 153 10 4 40.00
IF 192 2 2 100.00
IF 205 3 3 100.00
IF 219 2 2 100.00
IF 240 6 4 66.67
IF 256 3 3 100.00
CASE 289 4 4 100.00
IF 304 2 2 100.00
CASE 312 6 6 100.00
IF 355 4 4 100.00
IF 365 3 3 100.00
IF 404 4 4 100.00
IF 473 2 2 100.00
IF 540 4 3 75.00
IF 625 2 2 100.00
IF 631 5 3 60.00
IF 640 3 3 100.00
IF 811 2 2 100.00
CASE 834 6 6 100.00
IF 881 2 2 100.00
IF 909 4 4 100.00
IF 916 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv' or '../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 301 (hash_start_or_continue) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 460 (fifo_full) ? -2-: 460 (fifo_empty_negedge) ? -3-: 460 ((((reg_hash_start || reg_hash_continue) || reg_hash_process) || reg_hash_stop)) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 470 (fifo_empty_gate) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T6,T17


LineNo. Expression -1-: 533 ((hmac_fifo_wsel && fifo_wready)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 153 case (done_state_q) -2-: 155 if (sha_hash_process) -3-: 158 if (reg_hash_stop) -4-: 165 if (reg_hash_done) -5-: 172 if (digest_on_blk) -6-: 181 if ((!hash_running))

Branches:
-1--2--3--4--5--6-StatusTests
DoneAwaitCmd 1 - - - - Covered T1,T2,T3
DoneAwaitCmd 0 1 - - - Not Covered
DoneAwaitCmd 0 0 - - - Covered T1,T2,T3
DoneAwaitHashDone - - 1 - - Covered T1,T2,T3
DoneAwaitHashDone - - 0 - - Covered T1,T2,T3
DoneAwaitMessageComplete - - - 1 - Not Covered
DoneAwaitMessageComplete - - - 0 - Not Covered
DoneAwaitHashComplete - - - - 1 Not Covered
DoneAwaitHashComplete - - - - 0 Not Covered
default - - - - - Not Covered


LineNo. Expression -1-: 192 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 205 if (wipe_secret) -2-: 207 if ((!cfg_block))

Branches:
-1--2-StatusTests
1 - Covered T3,T4,T27
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 240 if ((digest_size == SHA2_256)) -2-: 244 if (((digest_size == SHA2_384) || (digest_size == SHA2_512))) -3-: 246 (reg2hw.digest[(2 * i)].qe) ? -4-: 249 (reg2hw.digest[((2 * i) + 1)].qe) ?

Branches:
-1--2--3--4-StatusTests
1 - - - Covered T1,T2,T3
0 1 1 - Not Covered
0 1 0 - Covered T1,T2,T3
0 1 - 1 Not Covered
0 1 - 0 Covered T1,T2,T3
0 0 - - Covered T1,T2,T3


LineNo. Expression -1-: 256 if ((digest_size_started_q == SHA2_256)) -2-: 262 if (((digest_size_started_q == SHA2_384) || (digest_size_started_q == SHA2_512)))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 289 case (digest_size_supplied)

Branches:
-1-StatusTests
SHA2_256 Covered T1,T2,T3
SHA2_384 Covered T1,T2,T3
SHA2_512 Covered T1,T2,T3
default Covered T1,T2,T3


LineNo. Expression -1-: 304 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 312 case (key_length_supplied)

Branches:
-1-StatusTests
Key_128 Covered T1,T2,T3
Key_256 Covered T1,T2,T3
Key_384 Covered T1,T2,T3
Key_512 Covered T1,T2,T3
Key_1024 Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 355 if ((!rst_ni)) -2-: 357 if (hash_start_or_continue) -3-: 359 if ((reg_hash_done || reg_hash_stop))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 365 if ((!rst_ni)) -2-: 397 if (((!cfg_block) && reg2hw.cfg.hmac_en.qe))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 404 if ((!rst_ni)) -2-: 406 if (hash_start_or_continue) -3-: 408 if (packer_flush_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 473 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 540 if (hmac_fifo_wsel) -2-: 542 if ((digest_size == SHA2_256)) -3-: 545 if (((digest_size == SHA2_384) || (digest_size == SHA2_512)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T3
1 0 1 Covered T1,T2,T3
1 0 0 Not Covered
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 625 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 631 if ((!cfg_block)) -2-: 632 if (reg2hw.msg_length_lower.qe) -3-: 635 if (reg2hw.msg_length_upper.qe)

Branches:
-1--2--3-StatusTests
1 1 - Not Covered
1 0 - Covered T1,T2,T3
1 - 1 Not Covered
1 - 0 Covered T1,T2,T3
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 640 if (hash_start) -2-: 642 if (((msg_write && sha_en) && packer_ready))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 811 if (cfg_block)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 834 case (1'b1)

Branches:
-1-StatusTests
invalid_config_atstart Covered T1,T2,T3
hash_start_sha_disabled Covered T2,T14,T15
hash_start_active Covered T2,T14,T16
msg_push_not_allowed Covered T1,T2,T3
update_seckey_inprocess Covered T2,T5,T14
default Covered T1,T2,T3


LineNo. Expression -1-: 881 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 909 if ((!rst_ni)) -2-: 910 if (hash_process) -3-: 911 if (reg_hash_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 916 if ((!rst_ni)) -2-: 917 if (hash_start_or_continue) -3-: 918 if ((hash_process || reg_hash_stop))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


Assert Coverage for Module : hmac
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertKnownO_A 415802778 415733177 0 0
FpvSecCmRegWeOnehotCheck_A 415802778 100 0 0
IntrFifoEmptyOKnown 415802778 415733177 0 0
IntrHmacDoneOKnown 415802778 415733177 0 0
TlOAReadyKnown 415802778 415733177 0 0
TlODValidKnown 415802778 415733177 0 0
ValidHashProcessAssert 415802778 32078 0 0
ValidHmacEnConditionAssert 415802778 9802 0 0
ValidWriteAssert 415802778 21603772 0 0
gen_assert_wmask_bytealign[0].unnamed$$_0 415802778 21603772 0 0
gen_assert_wmask_bytealign[1].unnamed$$_0 415802778 21603772 0 0
gen_assert_wmask_bytealign[2].unnamed$$_0 415802778 21603772 0 0
gen_assert_wmask_bytealign[3].unnamed$$_0 415802778 21603772 0 0


AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 100 0 0
T5 265452 0 0 0
T6 84193 0 0 0
T13 12671 0 0 0
T14 622024 0 0 0
T17 37863 0 0 0
T18 1411 0 0 0
T21 38468 0 0 0
T22 16660 10 0 0
T23 3346 10 0 0
T24 2880 0 0 0
T28 0 30 0 0
T29 0 20 0 0
T30 0 30 0 0

IntrFifoEmptyOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

IntrHmacDoneOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

TlOAReadyKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

TlODValidKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

ValidHashProcessAssert
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 32078 0 0
T1 96420 11 0 0
T2 574013 8 0 0
T3 345627 71 0 0
T4 109682 20 0 0
T5 0 194 0 0
T6 84193 27 0 0
T13 0 18 0 0
T17 37863 7 0 0
T18 1411 0 0 0
T21 0 15 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 4 0 0

ValidHmacEnConditionAssert
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 9802 0 0
T1 96420 1 0 0
T2 574013 10 0 0
T3 345627 53 0 0
T4 109682 14 0 0
T6 84193 24 0 0
T13 0 10 0 0
T14 0 15 0 0
T17 37863 5 0 0
T18 1411 0 0 0
T21 0 13 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 1 0 0

ValidWriteAssert
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[0].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[1].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[2].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[3].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

Line Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
TOTAL19418695.88
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN13811100.00
ALWAYS15015960.00
ALWAYS19233100.00
CONT_ASSIGN19911100.00
CONT_ASSIGN20011100.00
ALWAYS20477100.00
ALWAYS21933100.00
ALWAYS2311919100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN28211100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN28511100.00
ALWAYS28755100.00
CONT_ASSIGN30111100.00
ALWAYS30433100.00
CONT_ASSIGN30811100.00
ALWAYS31077100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN32711100.00
CONT_ASSIGN32911100.00
CONT_ASSIGN33011100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33211100.00
CONT_ASSIGN33311100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33511100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN33811100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34011100.00
CONT_ASSIGN34311100.00
CONT_ASSIGN34411100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35111100.00
CONT_ASSIGN35211100.00
ALWAYS35566100.00
ALWAYS36544100.00
ALWAYS40466100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46811100.00
CONT_ASSIGN47011100.00
ALWAYS47355100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51711100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN52811100.00
CONT_ASSIGN52911100.00
CONT_ASSIGN53111100.00
CONT_ASSIGN53211100.00
CONT_ASSIGN53311100.00
ALWAYS53888100.00
CONT_ASSIGN61211100.00
ALWAYS61733100.00
ALWAYS62533100.00
ALWAYS63010880.00
CONT_ASSIGN64711100.00
CONT_ASSIGN64811100.00
CONT_ASSIGN65511100.00
CONT_ASSIGN65611100.00
CONT_ASSIGN76611100.00
CONT_ASSIGN79511100.00
CONT_ASSIGN79611100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80211100.00
CONT_ASSIGN80711100.00
ALWAYS81066100.00
CONT_ASSIGN82611100.00
ALWAYS83277100.00
CONT_ASSIGN87511100.00
CONT_ASSIGN87911100.00
ALWAYS88133100.00
CONT_ASSIGN88711100.00
ALWAYS90966100.00
ALWAYS91666100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv' or '../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
135 1 1
136 1 1
137 1 1
138 1 1
150 1 1
151 1 1
153 1 1
155 1 1
157 1 1
158 1 1
160 0 1
MISSING_ELSE
165 1 1
166 1 1
167 1 1
MISSING_ELSE
172 0 1
176 0 1
==> MISSING_ELSE
181 0 1
182 0 1
183 0 1
==> MISSING_ELSE
192 1 1
193 1 1
195 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
209 1 1
210 1 1
212 1 1
MISSING_ELSE
MISSING_ELSE
219 2 2
220 1 1
231 1 1
232 1 1
234 1 1
236 1 1
240 1 1
242 1 1
243 1 1
244 1 1
246 1 1
249 1 1
252 1 1
MISSING_ELSE
256 1 1
257 1 1
261 1 1
262 1 1
266 1 1
267 1 1
270 1 1
271 1 1
277 1 1
282 1 1
283 1 1
285 1 1
287 1 1
289 1 1
290 1 1
291 1 1
292 1 1
301 1 1
304 2 2
305 1 1
308 1 1
310 1 1
312 1 1
313 1 1
314 1 1
315 1 1
316 1 1
317 1 1
325 1 1
326 1 1
327 1 1
329 1 1
330 1 1
331 1 1
332 1 1
333 1 1
334 1 1
335 1 1
337 1 1
338 1 1
339 1 1
340 1 1
343 1 1
344 1 1
349 1 1
350 1 1
351 1 1
352 1 1
355 1 1
356 1 1
357 1 1
358 1 1
359 1 1
360 1 1
MISSING_ELSE
365 1 1
366 1 1
397 1 1
398 1 1
MISSING_ELSE
404 1 1
405 1 1
406 1 1
407 1 1
408 1 1
409 1 1
MISSING_ELSE
453 1 1
460 1 1
468 1 1
470 1 1
473 1 1
474 1 1
475 1 1
477 1 1
478 1 1
514 1 1
517 1 1
523 1 1
528 1 1
529 1 1
531 1 1
532 1 1
533 1 1
538 1 1
540 1 1
541 1 1
542 1 1
544 1 1
545 1 1
547 1 1
548 1 1
==> MISSING_ELSE
MISSING_ELSE
612 1 1
617 1 1
618 1 1
619 1 1
625 2 2
626 1 1
630 1 1
631 1 1
632 1 1
633 0 1
MISSING_ELSE
635 1 1
636 0 1
MISSING_ELSE
MISSING_ELSE
640 1 1
641 1 1
642 1 1
643 1 1
MISSING_ELSE
647 1 1
648 1 1
655 1 1
656 1 1
766 1 1
795 1 1
796 1 1
797 1 1
802 1 1
807 1 1
810 1 1
811 1 1
812 1 1
813 1 1
814 1 1
MISSING_ELSE
818 1 1
826 1 1
832 1 1
834 1 1
837 1 1
841 1 1
845 1 1
849 1 1
853 1 1
875 1 1
879 1 1
881 1 1
882 1 1
884 1 1
887 1 1
909 2 2
910 2 2
911 2 2
MISSING_ELSE
916 2 2
917 2 2
918 2 2
MISSING_ELSE


Cond Coverage for Instance : tb.dut
TotalCoveredPercent
Conditions17314784.97
Logical17314784.97
Non-Logical00
Event00

 LINE       240
 EXPRESSION (digest_size == SHA2_256)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       244
 EXPRESSION ((digest_size == SHA2_384) || (digest_size == SHA2_512))
             ------------1------------    ------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       244
 SUB-EXPRESSION (digest_size == SHA2_384)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       244
 SUB-EXPRESSION (digest_size == SHA2_512)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       246
 EXPRESSION (reg2hw.digest[(2 * i)].qe ? prim_sha2_pkg::conv_endian32(reg2hw.digest[(2 * i)].q, digest_swap) : digest[i][63:32])
             ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       249
 EXPRESSION (reg2hw.digest[((2 * i) + 1)].qe ? prim_sha2_pkg::conv_endian32(reg2hw.digest[((2 * i) + 1)].q, digest_swap) : digest[i][31:0])
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       252
 EXPRESSION (reg2hw.digest[(2 * i)].qe | reg2hw.digest[((2 * i) + 1)].qe)
             ------------1------------   ---------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       256
 EXPRESSION (digest_size_started_q == SHA2_256)
            -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       262
 EXPRESSION ((digest_size_started_q == SHA2_384) || (digest_size_started_q == SHA2_512))
             -----------------1-----------------    -----------------2-----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       262
 SUB-EXPRESSION (digest_size_started_q == SHA2_384)
                -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       262
 SUB-EXPRESSION (digest_size_started_q == SHA2_512)
                -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       301
 EXPRESSION (hash_start_or_continue ? digest_size : digest_size_started_q)
             -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       337
 EXPRESSION (reg2hw.cmd.hash_start.qe & reg2hw.cmd.hash_start.q)
             ------------1-----------   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       338
 EXPRESSION (reg2hw.cmd.hash_stop.qe & reg2hw.cmd.hash_stop.q)
             -----------1-----------   -----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       339
 EXPRESSION (reg2hw.cmd.hash_continue.qe & reg2hw.cmd.hash_continue.q)
             -------------1-------------   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       340
 EXPRESSION (reg2hw.cmd.hash_process.qe & reg2hw.cmd.hash_process.q)
             -------------1------------   ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION (reg_hash_start & sha_en & ((~cfg_block)) & ((~invalid_config)))
             -------1------   ---2--   -------3------   ---------4---------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011CoveredT2,T14,T15
1101CoveredT2,T14,T16
1110CoveredT1,T2,T3
1111CoveredT1,T2,T3

 LINE       350
 EXPRESSION (reg_hash_continue & sha_en & ((~cfg_block)) & ((~invalid_config)))
             --------1--------   ---2--   -------3------   ---------4---------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101Not Covered
1110Not Covered
1111Not Covered

 LINE       351
 EXPRESSION (reg_hash_process & sha_en & cfg_block & ((~invalid_config)))
             --------1-------   ---2--   ----3----   ---------4---------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT1,T2,T3

 LINE       352
 EXPRESSION (hash_start | hash_continue)
             -----1----   ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       359
 EXPRESSION (reg_hash_done || reg_hash_stop)
             ------1------    ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       397
 EXPRESSION (((!cfg_block)) && reg2hw.cfg.hmac_en.qe)
             -------1------    ----------2----------
-1--2-StatusTests
01CoveredT2,T5,T14
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       453
 EXPRESSION (fifo_empty_q & ((~fifo_empty)))
             ------1-----   -------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       460
 EXPRESSION 
 Number  Term
      1  fifo_full ? 1'b1 : (fifo_empty_negedge ? 1'b0 : ((reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop) ? 1'b0 : fifo_full_seen_q)))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 SUB-EXPRESSION (fifo_empty_negedge ? 1'b0 : ((reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop) ? 1'b0 : fifo_full_seen_q))
                 ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 SUB-EXPRESSION ((reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop) ? 1'b0 : fifo_full_seen_q)
                 -------------------------------------1------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 SUB-EXPRESSION (reg_hash_start || reg_hash_continue || reg_hash_process || reg_hash_stop)
                 -------1------    --------2--------    --------3-------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Not Covered
0010CoveredT1,T2,T3
0100Not Covered
1000CoveredT1,T2,T3

 LINE       468
 EXPRESSION (((~msg_allowed)) || ((~fifo_full_seen_q)))
             --------1-------    ----------2----------
-1--2-StatusTests
00CoveredT3,T6,T17
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       470
 EXPRESSION (fifo_empty_gate ? 1'b0 : fifo_empty)
             -------1-------
-1-StatusTests
0CoveredT3,T6,T17
1CoveredT1,T2,T3

 LINE       514
 EXPRESSION (msg_fifo_req & ((~msg_fifo_we)))
             ------1-----   --------2-------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Excluded VC_COV_UNR

 LINE       517
 EXPRESSION (msg_fifo_req & ((~hmac_fifo_wsel)) & packer_ready)
             ------1-----   ---------2---------   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110UnreachableT3,T6,T17
111CoveredT1,T2,T3

 LINE       533
 EXPRESSION ((hmac_fifo_wsel && fifo_wready) ? hmac_fifo_wvalid : reg_fifo_wvalid)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       533
 SUB-EXPRESSION (hmac_fifo_wsel && fifo_wready)
                 -------1------    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       542
 EXPRESSION (digest_size == SHA2_256)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       545
 EXPRESSION ((digest_size == SHA2_384) || (digest_size == SHA2_512))
             ------------1------------    ------------2------------
-1--2-StatusTests
00Not Covered
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       545
 SUB-EXPRESSION (digest_size == SHA2_384)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       545
 SUB-EXPRESSION (digest_size == SHA2_512)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       559
 EXPRESSION (fifo_wvalid & sha_en)
             -----1-----   ---2--
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       612
 EXPRESSION (msg_fifo_req & msg_fifo_we & ((~hmac_fifo_wsel)) & msg_allowed)
             ------1-----   -----2-----   ---------3---------   -----4-----
-1--2--3--4-StatusTestsExclude Annotation
0111CoveredT1,T2,T3
1011Excluded VC_COV_UNR
1101Excluded VC_COV_UNR
1110CoveredT1,T2,T3
1111CoveredT1,T2,T3

 LINE       642
 EXPRESSION (msg_write && sha_en && packer_ready)
             ----1----    ---2--    ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110UnreachableT3,T6,T17
111CoveredT1,T2,T3

 LINE       662
 EXPRESSION (msg_write & sha_en)
             ----1----   ---2--
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       662
 EXPRESSION (fifo_wready & ((~hmac_fifo_wsel)))
             -----1-----   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       766
 SUB-EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
                 ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT18,T19,T20
10CoveredT1,T2,T3
11CoveredT18,T19,T20

 LINE       795
 EXPRESSION ((reg_hash_start | reg_hash_continue) & ((~sha_en)))
             ------------------1-----------------   -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T14,T16

 LINE       795
 SUB-EXPRESSION (reg_hash_start | reg_hash_continue)
                 -------1------   --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       796
 EXPRESSION ((reg_hash_start | reg_hash_continue) & cfg_block)
             ------------------1-----------------   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T14,T16

 LINE       796
 SUB-EXPRESSION (reg_hash_start | reg_hash_continue)
                 -------1------   --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       797
 EXPRESSION (msg_fifo_req & ((~msg_allowed)))
             ------1-----   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       802
 EXPRESSION ((digest_size == SHA2_None) | ((key_length == Key_None) && hmac_en) | ((key_length == Key_1024) && (digest_size == SHA2_256) && hmac_en))
             -------------1------------   ------------------2------------------   ---------------------------------3--------------------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT3,T6,T15
010CoveredT1,T2,T3
100CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION (digest_size == SHA2_None)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION ((key_length == Key_None) && hmac_en)
                 ------------1-----------    ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION (key_length == Key_None)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       802
 SUB-EXPRESSION ((key_length == Key_1024) && (digest_size == SHA2_256) && hmac_en)
                 ------------1-----------    ------------2------------    ---3---
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T3,T4
110CoveredT3,T4,T21
111CoveredT3,T6,T15

 LINE       802
 SUB-EXPRESSION (key_length == Key_1024)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       802
 SUB-EXPRESSION (digest_size == SHA2_256)
                ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       807
 EXPRESSION ((reg_hash_start || reg_hash_continue) & invalid_config)
             ------------------1------------------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       807
 SUB-EXPRESSION (reg_hash_start || reg_hash_continue)
                 -------1------    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       826
 EXPRESSION 
 Number  Term
      1  ((~reg2hw.intr_state.hmac_err.q)) & 
      2  (hash_start_sha_disabled | update_seckey_inprocess | hash_start_active | msg_push_not_allowed | invalid_config_atstart))
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       826
 SUB-EXPRESSION (hash_start_sha_disabled | update_seckey_inprocess | hash_start_active | msg_push_not_allowed | invalid_config_atstart)
                 -----------1-----------   -----------2-----------   --------3--------   ----------4---------   -----------5----------
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001CoveredT1,T2,T3
00010CoveredT1,T2,T3
00100CoveredT2,T14,T16
01000CoveredT2,T5,T14
10000CoveredT2,T14,T15

 LINE       875
 EXPRESSION (((!reg_fifo_wvalid)) && ((!fifo_rvalid)) && hmac_core_idle && sha_core_idle)
             ----------1---------    --------2-------    -------3------    ------4------
-1--2--3--4-StatusTestsExclude Annotation
0111Excluded VC_COV_UNR
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT2,T3,T4
1111CoveredT1,T2,T3

 LINE       918
 EXPRESSION (hash_process || reg_hash_stop)
             ------1-----    ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

Toggle Coverage for Instance : tb.dut
TotalCoveredPercent
Totals 30 30 100.00
Total Bits 346 346 100.00
Total Bits 0->1 173 173 100.00
Total Bits 1->0 173 173 100.00

Ports 30 30 100.00
Port Bits 346 346 100.00
Port Bits 0->1 173 173 100.00
Port Bits 1->0 173 173 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T22,T23 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T2,T3,T22 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T2,T22,T24 Yes T2,T22,T24 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T10,T11,T25 Yes T10,T11,T25 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T22,T23,T18 Yes T22,T23,T18 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T22,T23,T18 Yes T22,T23,T18 OUTPUT
intr_hmac_done_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_fifo_empty_o Yes Yes T3,T21,T26 Yes T3,T21,T26 OUTPUT
intr_hmac_err_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
idle_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

FSM Coverage for Instance : tb.dut
Summary for FSM :: done_state_q
TotalCoveredPercent
States 4 2 50.00 (Not included in score)
Transitions 5 2 40.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: done_state_q
statesLine No.CoveredTests
DoneAwaitCmd 167 Covered T1,T2,T3
DoneAwaitHashComplete 176 Not Covered
DoneAwaitHashDone 157 Covered T1,T2,T3
DoneAwaitMessageComplete 160 Not Covered


transitionsLine No.CoveredTests
DoneAwaitCmd->DoneAwaitHashDone 157 Covered T1,T2,T3
DoneAwaitCmd->DoneAwaitMessageComplete 160 Not Covered
DoneAwaitHashComplete->DoneAwaitCmd 183 Not Covered
DoneAwaitHashDone->DoneAwaitCmd 167 Covered T1,T2,T3
DoneAwaitMessageComplete->DoneAwaitHashComplete 176 Not Covered



Branch Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
Branches 93 82 88.17
TERNARY 301 2 2 100.00
TERNARY 460 4 4 100.00
TERNARY 470 2 2 100.00
TERNARY 533 2 2 100.00
CASE 153 10 4 40.00
IF 192 2 2 100.00
IF 205 3 3 100.00
IF 219 2 2 100.00
IF 240 6 4 66.67
IF 256 3 3 100.00
CASE 289 4 4 100.00
IF 304 2 2 100.00
CASE 312 6 6 100.00
IF 355 4 4 100.00
IF 365 3 3 100.00
IF 404 4 4 100.00
IF 473 2 2 100.00
IF 540 4 3 75.00
IF 625 2 2 100.00
IF 631 5 3 60.00
IF 640 3 3 100.00
IF 811 2 2 100.00
CASE 834 6 6 100.00
IF 881 2 2 100.00
IF 909 4 4 100.00
IF 916 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv' or '../src/lowrisc_ip_hmac_0.1/rtl/hmac.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 301 (hash_start_or_continue) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 460 (fifo_full) ? -2-: 460 (fifo_empty_negedge) ? -3-: 460 ((((reg_hash_start || reg_hash_continue) || reg_hash_process) || reg_hash_stop)) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 470 (fifo_empty_gate) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T6,T17


LineNo. Expression -1-: 533 ((hmac_fifo_wsel && fifo_wready)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 153 case (done_state_q) -2-: 155 if (sha_hash_process) -3-: 158 if (reg_hash_stop) -4-: 165 if (reg_hash_done) -5-: 172 if (digest_on_blk) -6-: 181 if ((!hash_running))

Branches:
-1--2--3--4--5--6-StatusTests
DoneAwaitCmd 1 - - - - Covered T1,T2,T3
DoneAwaitCmd 0 1 - - - Not Covered
DoneAwaitCmd 0 0 - - - Covered T1,T2,T3
DoneAwaitHashDone - - 1 - - Covered T1,T2,T3
DoneAwaitHashDone - - 0 - - Covered T1,T2,T3
DoneAwaitMessageComplete - - - 1 - Not Covered
DoneAwaitMessageComplete - - - 0 - Not Covered
DoneAwaitHashComplete - - - - 1 Not Covered
DoneAwaitHashComplete - - - - 0 Not Covered
default - - - - - Not Covered


LineNo. Expression -1-: 192 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 205 if (wipe_secret) -2-: 207 if ((!cfg_block))

Branches:
-1--2-StatusTests
1 - Covered T3,T4,T27
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 240 if ((digest_size == SHA2_256)) -2-: 244 if (((digest_size == SHA2_384) || (digest_size == SHA2_512))) -3-: 246 (reg2hw.digest[(2 * i)].qe) ? -4-: 249 (reg2hw.digest[((2 * i) + 1)].qe) ?

Branches:
-1--2--3--4-StatusTests
1 - - - Covered T1,T2,T3
0 1 1 - Not Covered
0 1 0 - Covered T1,T2,T3
0 1 - 1 Not Covered
0 1 - 0 Covered T1,T2,T3
0 0 - - Covered T1,T2,T3


LineNo. Expression -1-: 256 if ((digest_size_started_q == SHA2_256)) -2-: 262 if (((digest_size_started_q == SHA2_384) || (digest_size_started_q == SHA2_512)))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 289 case (digest_size_supplied)

Branches:
-1-StatusTests
SHA2_256 Covered T1,T2,T3
SHA2_384 Covered T1,T2,T3
SHA2_512 Covered T1,T2,T3
default Covered T1,T2,T3


LineNo. Expression -1-: 304 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 312 case (key_length_supplied)

Branches:
-1-StatusTests
Key_128 Covered T1,T2,T3
Key_256 Covered T1,T2,T3
Key_384 Covered T1,T2,T3
Key_512 Covered T1,T2,T3
Key_1024 Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 355 if ((!rst_ni)) -2-: 357 if (hash_start_or_continue) -3-: 359 if ((reg_hash_done || reg_hash_stop))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 365 if ((!rst_ni)) -2-: 397 if (((!cfg_block) && reg2hw.cfg.hmac_en.qe))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 404 if ((!rst_ni)) -2-: 406 if (hash_start_or_continue) -3-: 408 if (packer_flush_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 473 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 540 if (hmac_fifo_wsel) -2-: 542 if ((digest_size == SHA2_256)) -3-: 545 if (((digest_size == SHA2_384) || (digest_size == SHA2_512)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T3
1 0 1 Covered T1,T2,T3
1 0 0 Not Covered
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 625 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 631 if ((!cfg_block)) -2-: 632 if (reg2hw.msg_length_lower.qe) -3-: 635 if (reg2hw.msg_length_upper.qe)

Branches:
-1--2--3-StatusTests
1 1 - Not Covered
1 0 - Covered T1,T2,T3
1 - 1 Not Covered
1 - 0 Covered T1,T2,T3
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 640 if (hash_start) -2-: 642 if (((msg_write && sha_en) && packer_ready))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 811 if (cfg_block)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 834 case (1'b1)

Branches:
-1-StatusTests
invalid_config_atstart Covered T1,T2,T3
hash_start_sha_disabled Covered T2,T14,T15
hash_start_active Covered T2,T14,T16
msg_push_not_allowed Covered T1,T2,T3
update_seckey_inprocess Covered T2,T5,T14
default Covered T1,T2,T3


LineNo. Expression -1-: 881 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 909 if ((!rst_ni)) -2-: 910 if (hash_process) -3-: 911 if (reg_hash_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 916 if ((!rst_ni)) -2-: 917 if (hash_start_or_continue) -3-: 918 if ((hash_process || reg_hash_stop))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertKnownO_A 415802778 415733177 0 0
FpvSecCmRegWeOnehotCheck_A 415802778 100 0 0
IntrFifoEmptyOKnown 415802778 415733177 0 0
IntrHmacDoneOKnown 415802778 415733177 0 0
TlOAReadyKnown 415802778 415733177 0 0
TlODValidKnown 415802778 415733177 0 0
ValidHashProcessAssert 415802778 32078 0 0
ValidHmacEnConditionAssert 415802778 9802 0 0
ValidWriteAssert 415802778 21603772 0 0
gen_assert_wmask_bytealign[0].unnamed$$_0 415802778 21603772 0 0
gen_assert_wmask_bytealign[1].unnamed$$_0 415802778 21603772 0 0
gen_assert_wmask_bytealign[2].unnamed$$_0 415802778 21603772 0 0
gen_assert_wmask_bytealign[3].unnamed$$_0 415802778 21603772 0 0


AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 100 0 0
T5 265452 0 0 0
T6 84193 0 0 0
T13 12671 0 0 0
T14 622024 0 0 0
T17 37863 0 0 0
T18 1411 0 0 0
T21 38468 0 0 0
T22 16660 10 0 0
T23 3346 10 0 0
T24 2880 0 0 0
T28 0 30 0 0
T29 0 20 0 0
T30 0 30 0 0

IntrFifoEmptyOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

IntrHmacDoneOKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

TlOAReadyKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

TlODValidKnown
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 415733177 0 0
T1 96420 96344 0 0
T2 574013 573950 0 0
T3 345627 345222 0 0
T4 109682 109587 0 0
T6 84193 84105 0 0
T17 37863 37791 0 0
T18 1411 1356 0 0
T22 16660 15785 0 0
T23 3346 2557 0 0
T24 2880 2784 0 0

ValidHashProcessAssert
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 32078 0 0
T1 96420 11 0 0
T2 574013 8 0 0
T3 345627 71 0 0
T4 109682 20 0 0
T5 0 194 0 0
T6 84193 27 0 0
T13 0 18 0 0
T17 37863 7 0 0
T18 1411 0 0 0
T21 0 15 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 4 0 0

ValidHmacEnConditionAssert
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 9802 0 0
T1 96420 1 0 0
T2 574013 10 0 0
T3 345627 53 0 0
T4 109682 14 0 0
T6 84193 24 0 0
T13 0 10 0 0
T14 0 15 0 0
T17 37863 5 0 0
T18 1411 0 0 0
T21 0 13 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 1 0 0

ValidWriteAssert
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[0].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[1].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[2].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

gen_assert_wmask_bytealign[3].unnamed$$_0
NameAttemptsReal SuccessesFailuresIncomplete
Total 415802778 21603772 0 0
T1 96420 17644 0 0
T2 574013 14947 0 0
T3 345627 81740 0 0
T4 109682 14372 0 0
T5 0 74375 0 0
T6 84193 42522 0 0
T13 0 423 0 0
T17 37863 20228 0 0
T18 1411 0 0 0
T21 0 8837 0 0
T22 16660 0 0 0
T23 3346 0 0 0
T24 2880 50 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%