Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : keymgr
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.05 96.00 98.36 99.96 95.92 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 98.05 96.00 98.36 99.96 95.92 100.00



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.05 96.00 98.36 99.96 95.92 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.82 99.04 97.95 98.53 100.00 99.02 98.41


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_sw_assigns[0].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[0].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[0].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[0].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[0].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[1].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[1].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[1].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[1].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[1].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[2].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[2].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[2].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[2].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[2].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[3].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[3].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[3].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[3].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[3].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[4].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[4].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[4].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[4].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[4].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[5].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[5].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[5].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[5].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[5].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[6].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[6].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[6].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[6].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[6].u_prim_buf_share1_de 100.00 100.00
gen_sw_assigns[7].u_mubi_buf 100.00 100.00 100.00
gen_sw_assigns[7].u_prim_buf_share0_d 100.00 100.00
gen_sw_assigns[7].u_prim_buf_share0_de 100.00 100.00
gen_sw_assigns[7].u_prim_buf_share1_d 100.00 100.00
gen_sw_assigns[7].u_prim_buf_share1_de 100.00 100.00
keymgr_csr_assert 100.00 100.00
tlul_assert_device 99.30 100.00 100.00 97.90
u_cfgen 98.15 100.00 94.44 100.00
u_checks 100.00 100.00 100.00
u_ctrl 97.16 99.71 95.29 95.19 100.00 98.65 94.12
u_fault_alert 100.00 100.00
u_intr_op_done 100.00 100.00 100.00 100.00 100.00
u_kmac_if 97.35 100.00 90.91 100.00 100.00 93.18 100.00
u_lc_keymgr_en_sync 100.00 100.00 100.00 100.00
u_lfsr 100.00 100.00
u_op_err_alert 100.00 100.00
u_reg 99.45 98.74 99.02 100.00 99.47 100.00
u_reseed_ctrl 98.44 100.00 92.19 100.00 100.00 100.00
u_seed_anchor 0.00 0.00
u_sideload_ctrl 98.49 100.00 92.45 100.00 100.00 100.00
u_sw_binding_regwen 98.25 100.00 94.74 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : keymgr
Line No.TotalCoveredPercent
TOTAL757296.00
CONT_ASSIGN21011100.00
CONT_ASSIGN23411100.00
CONT_ASSIGN23511100.00
CONT_ASSIGN23711100.00
CONT_ASSIGN23811100.00
CONT_ASSIGN27111100.00
CONT_ASSIGN27111100.00
CONT_ASSIGN27511100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN34611100.00
CONT_ASSIGN35311100.00
CONT_ASSIGN36911100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN45511100.00
CONT_ASSIGN45711100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46811100.00
CONT_ASSIGN471100.00
CONT_ASSIGN472100.00
CONT_ASSIGN473100.00
CONT_ASSIGN48111100.00
CONT_ASSIGN48211100.00
CONT_ASSIGN48511100.00
CONT_ASSIGN48711100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN49811100.00
CONT_ASSIGN49911100.00
CONT_ASSIGN53611100.00
CONT_ASSIGN53711100.00
CONT_ASSIGN53811100.00
CONT_ASSIGN53911100.00
CONT_ASSIGN54011100.00
CONT_ASSIGN54111100.00
CONT_ASSIGN54211100.00
CONT_ASSIGN54311100.00
CONT_ASSIGN55011100.00
CONT_ASSIGN55111100.00
CONT_ASSIGN55211100.00
CONT_ASSIGN55311100.00
CONT_ASSIGN67011100.00
CONT_ASSIGN67111100.00
CONT_ASSIGN67211100.00
CONT_ASSIGN67411100.00
CONT_ASSIGN67511100.00
CONT_ASSIGN67611100.00
CONT_ASSIGN67711100.00
CONT_ASSIGN67811100.00
CONT_ASSIGN67911100.00
CONT_ASSIGN68011100.00
CONT_ASSIGN68111100.00
CONT_ASSIGN68211100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN68411100.00
CONT_ASSIGN68511100.00
CONT_ASSIGN68611100.00
CONT_ASSIGN68711100.00
CONT_ASSIGN71111100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71611100.00
CONT_ASSIGN71711100.00
ALWAYS72155100.00
CONT_ASSIGN73111100.00
CONT_ASSIGN74811100.00
CONT_ASSIGN77900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
210 1 1
234 1 1
235 1 1
237 1 1
238 1 1
271 2 2
275 1 1
326 1 1
328 1 1
346 1 1
353 1 1
369 1 1
399 1 1
404 1 1
417 1 1
419 1 1
436 1 1
442 1 1
455 1 1
457 1 1
459 1 1
460 1 1
463 1 1
468 1 1
471 0 1
472 0 1
473 0 1
481 1 1
482 1 1
485 1 1
487 1 1
497 1 1
498 1 1
499 1 1
536 1 1
537 1 1
538 1 1
539 1 1
540 1 1
541 1 1
542 1 1
543 1 1
550 1 1
551 1 1
552 1 1
553 1 1
670 1 1
671 1 1
672 1 1
674 1 1
675 1 1
676 1 1
677 1 1
678 1 1
679 1 1
680 1 1
681 1 1
682 1 1
683 1 1
684 1 1
685 1 1
686 1 1
687 1 1
711 1 1
713 1 1
716 1 1
717 1 1
721 1 1
722 1 1
723 1 1
725 1 1
726 1 1
731 1 1
748 1 1
779 unreachable


Cond Coverage for Module : keymgr
TotalCoveredPercent
Conditions18318098.36
Logical18318098.36
Non-Logical00
Event00

 LINE       210
 EXPRESSION (ctrl_lfsr_en | data_lfsr_en | sideload_lfsr_en)
             ------1-----   ------2-----   --------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T2,T3
010CoveredT1,T2,T4
100CoveredT1,T2,T4

 LINE       336
 EXPRESSION (op_start & op_done)
             ----1---   ---2---
-1--2-StatusTests
01CoveredT9,T10,T11
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       353
 EXPRESSION (reg2hw.sw_binding_regwen.qe & ((~reg2hw.sw_binding_regwen.q)))
             -------------1-------------   ---------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T12
11CoveredT2,T3,T4

 LINE       369
 EXPRESSION (sw_binding_regwen & cfg_regwen)
             --------1--------   -----2----
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       399
 EXPRESSION ((cdi_sel == 1'b0) ? reg2hw.sealing_sw_binding : ((cdi_sel == 1'b1) ? reg2hw.attest_sw_binding : RndCnstCdi))
             --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (cdi_sel == 1'b0)
                --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION ((cdi_sel == 1'b1) ? reg2hw.attest_sw_binding : RndCnstCdi)
                 --------1--------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (cdi_sel == 1'b1)
                --------1--------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       442
 EXPRESSION (creator_seed_vld & devid_vld & health_state_vld & rom_digest_vld)
             --------1-------   ----2----   --------3-------   -------4------
-1--2--3--4-StatusTests
0111CoveredT45,T19,T89
1011CoveredT22,T90,T91
1101CoveredT22,T45,T19
1110CoveredT34,T44,T52
1111CoveredT1,T2,T3

 LINE       482
 EXPRESSION ((dest_sel == Aes) ? aes_seed : ((dest_sel == Kmac) ? kmac_seed : ((dest_sel == Otbn) ? otbn_seed : none_seed)))
             --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       482
 SUB-EXPRESSION (dest_sel == Aes)
                --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       482
 SUB-EXPRESSION ((dest_sel == Kmac) ? kmac_seed : ((dest_sel == Otbn) ? otbn_seed : none_seed))
                 ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       482
 SUB-EXPRESSION (dest_sel == Kmac)
                ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       482
 SUB-EXPRESSION ((dest_sel == Otbn) ? otbn_seed : none_seed)
                 ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       482
 SUB-EXPRESSION (dest_sel == Otbn)
                ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       487
 EXPRESSION (invalid_stage_sel ? ({GenLfsrCopies {lfsr[31:0]}}) : ({reg2hw.key_version, reg2hw.salt, dest_seed, output_key}))
             --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       536
 EXPRESSION (adv_en | id_en | gen_en)
             ---1--   --2--   ---3--
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T2,T3
010CoveredT2,T3,T4
100CoveredT1,T2,T3

 LINE       537
 EXPRESSION (adv_en & (stage_sel == Creator) & ((~creator_seed_vld)))
             ---1--   -----------2----------   ----------3----------
-1--2--3-StatusTests
011CoveredT23,T92,T93
101CoveredT19,T89,T94
110CoveredT1,T2,T3
111CoveredT23,T92,T95

 LINE       537
 SUB-EXPRESSION (stage_sel == Creator)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       538
 EXPRESSION (adv_en & (stage_sel == OwnerInt) & ((~owner_seed_vld)))
             ---1--   -----------2-----------   ---------3---------
-1--2--3-StatusTests
011CoveredT19,T96
101CoveredT97,T96,T98
110CoveredT1,T2,T3
111CoveredT19,T96

 LINE       538
 SUB-EXPRESSION (stage_sel == OwnerInt)
                -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       539
 EXPRESSION (adv_en & (stage_sel == Creator) & ((~devid_vld)))
             ---1--   -----------2----------   -------3------
-1--2--3-StatusTests
011CoveredT19,T23,T96
101CoveredT22,T19,T99
110CoveredT1,T2,T3
111CoveredT23,T96,T99

 LINE       539
 SUB-EXPRESSION (stage_sel == Creator)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       540
 EXPRESSION (adv_en & (stage_sel == Creator) & ((~health_state_vld)))
             ---1--   -----------2----------   ----------3----------
-1--2--3-StatusTests
011CoveredT22,T19,T97
101CoveredT19,T97,T100
110CoveredT1,T2,T3
111CoveredT22,T23,T98

 LINE       540
 SUB-EXPRESSION (stage_sel == Creator)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       541
 EXPRESSION (gen_en & ((~key_version_vld)))
             ---1--   ----------2---------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT2,T13,T16

 LINE       542
 EXPRESSION (valid_op & ((~key_vld)))
             ----1---   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT20,T21

 LINE       543
 EXPRESSION (adv_en & (stage_sel == Creator) & ((~rom_digest_vld)))
             ---1--   -----------2----------   ---------3---------
-1--2--3-StatusTests
011CoveredT19,T97,T23
101CoveredT19,T101,T97
110CoveredT1,T2,T3
111CoveredT23,T96,T99

 LINE       543
 SUB-EXPRESSION (stage_sel == Creator)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       550
 EXPRESSION (((~key_vld)) | ((~adv_dvalid[stage_sel])))
             ------1-----   -------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT22,T19,T97
10CoveredT1,T2,T3

 LINE       552
 EXPRESSION (((~key_vld)) | ((~key_version_vld)))
             ------1-----   ----------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T3,T4
10CoveredT1,T2,T3

 LINE       553
 EXPRESSION (((~key_vld)) | ((~key_version_vld)))
             ------1-----   ----------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T3,T4
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(0 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(1 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(2 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(3 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(4 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(5 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(6 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       623
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[0] : kmac_data[0][(7 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       623
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(0 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(1 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(2 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(3 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(4 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(5 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(6 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       630
 EXPRESSION ((((~data_sw_en)) | wipe_key) ? data_rand[1] : kmac_data[1][(7 * 32)+:32])
             --------------1-------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       630
 SUB-EXPRESSION (((~data_sw_en)) | wipe_key)
                 -------1-------   ----2---
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT33,T48,T49
10CoveredT1,T2,T3

 LINE       713
 EXPRESSION (fault_errs ? 1'b1 : (fault_err_ack ? 1'b0 : fault_err_req_q))
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT32,T33,T17

 LINE       713
 SUB-EXPRESSION (fault_err_ack ? 1'b0 : fault_err_req_q)
                 ------1------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       717
 EXPRESSION (op_errs ? 1'b1 : (op_err_ack ? 1'b0 : op_err_req_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       717
 SUB-EXPRESSION (op_err_ack ? 1'b0 : op_err_req_q)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       731
 EXPRESSION (reg2hw.alert_test.fatal_fault_err.q & reg2hw.alert_test.fatal_fault_err.qe)
             -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT102,T103,T104
10CoveredT1,T2,T3
11CoveredT102,T103,T104

 LINE       748
 EXPRESSION (reg2hw.alert_test.recov_operation_err.q & reg2hw.alert_test.recov_operation_err.qe)
             -------------------1-------------------   --------------------2-------------------
-1--2-StatusTests
01CoveredT102,T103,T104
10CoveredT1,T2,T3
11CoveredT102,T103,T104

Toggle Coverage for Module : keymgr
TotalCoveredPercent
Totals 67 65 97.01
Total Bits 10068 10064 99.96
Total Bits 0->1 5034 5032 99.96
Total Bits 1->0 5034 5032 99.96

Ports 67 65 97.01
Port Bits 10068 10064 99.96
Port Bits 0->1 5034 5032 99.96
Port Bits 1->0 5034 5032 99.96

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T13,T15,T16 Yes T1,T2,T3 INPUT
rst_shadowed_ni Yes Yes T13,T15,T16 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T13,T15,T16 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T1,T2,T13 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T13,T15,T32 Yes T13,T15,T32 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][15:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][16] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][22:17] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][23] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][32:24] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][33] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][48:34] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][49] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][72:50] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][74:73] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][76:75] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][77] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][101:78] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][103:102] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][115:104] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][116] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][123:117] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][124] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][142:125] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][143] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][146:144] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][147] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][173:148] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][174] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][207:175] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][208] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][245:209] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][246] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][251:247] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][252] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][253] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][254] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[0][255] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][3] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][5:4] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][7:6] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][9:8] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][10] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][18:11] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][19] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][34:20] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][35] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][74:36] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][75] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][84:76] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][85] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][128:86] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][129] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][131:130] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][132] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][154:133] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][155] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][219:156] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][220] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][249:221] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][250] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.key[1][255:251] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
aes_key_o.valid Yes Yes T13,T16,T33 Yes T4,T12,T13 OUTPUT
kmac_key_o.key[1:0][255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_key_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[0][383:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][17:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][18] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][24:19] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][25] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][29:26] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][30] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][49:31] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][50] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][56:51] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][57] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][61:58] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][62] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][81:63] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][82] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][88:83] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][89] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][93:90] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][94] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][113:95] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][114] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][120:115] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][121] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][125:122] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][126] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][145:127] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][146] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][152:147] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][153] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][157:154] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][158] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][177:159] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][178] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][184:179] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][185] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][189:186] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][190] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][209:191] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][210] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][216:211] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][217] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][221:218] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][222] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][241:223] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][242] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][248:243] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][249] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][253:250] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][254] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][273:255] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][274] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][280:275] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][281] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][285:282] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][286] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][305:287] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][306] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][312:307] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][313] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][317:314] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][318] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][337:319] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][338] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][344:339] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][345] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][349:346] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][350] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][369:351] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][370] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][376:371] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][377] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][381:378] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][382] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.key[1][383] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otbn_key_o.valid Yes Yes T14,T15,T16 Yes T3,T12,T14 OUTPUT
kmac_data_o.last Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.strb[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.data[63:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_i.error Yes Yes T17,T52,T105 Yes T32,T33,T17 INPUT
kmac_data_i.digest_share1[383:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_i.digest_share0[383:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_i.done Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_i.ready Yes Yes T1,T4,T13 Yes T1,T2,T3 INPUT
kmac_en_masking_i Unreachable Unreachable Unreachable INPUT
lc_keymgr_en_i[3:0] Yes Yes T13,T5,T15 Yes T1,T2,T3 INPUT
lc_keymgr_div_i[127:0] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
otp_key_i.owner_seed_valid Yes Yes T13,T16,T49 Yes T13,T16,T106 INPUT
otp_key_i.owner_seed[255:0] Yes Yes T13,T106,T49 Yes T13,T49,T107 INPUT
otp_key_i.creator_seed_valid Yes Yes T13,T49,T107 Yes T13,T49,T107 INPUT
otp_key_i.creator_seed[255:0] Yes Yes T13,T106,T49 Yes T13,T49,T37 INPUT
otp_key_i.creator_root_key_share1_valid No No No INPUT
otp_key_i.creator_root_key_share1[255:0] Yes Yes T13,T16,T49 Yes T13,T49,T50 INPUT
otp_key_i.creator_root_key_share0_valid No No No INPUT
otp_key_i.creator_root_key_share0[255:0] Yes Yes T106,T49,T107 Yes T16,T49,T107 INPUT
otp_device_id_i[255:0] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][7:0] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][8] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][16:9] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][17] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][22:18] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][23] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][30:24] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][31] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][34:32] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][35] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[0][46:36] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][47] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][48] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][49] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][58:50] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][59] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][60] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][61] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][70:62] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][71] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][72] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][73] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][74] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][78:75] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][79] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][80] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][82:81] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][83] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][84] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][85] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[0][86] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][92:87] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][93] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][95:94] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][96] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][99:97] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][100] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][101] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][102] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][106:103] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][107] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][113:108] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][114] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][117:115] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][118] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][120:119] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][121] Yes Yes T4,T12,T13 Yes T4,T12,T13 INPUT
flash_i.seeds[0][122] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][123] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][124] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][134:125] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][135] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][139:136] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][140] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][154:141] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][155] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][160:156] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][161] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][162] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][163] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][165:164] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][166] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][167] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][169:168] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][170] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][181:171] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][182] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][184:183] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][185] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][188:186] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][189] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][191:190] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][192] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][195:193] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][196] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][197] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][206:198] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][207] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][208] Yes Yes T4,T12,T13 Yes T4,T12,T13 INPUT
flash_i.seeds[0][214:209] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][215] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][222:216] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][223] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][225:224] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][226] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][227] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][229:228] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][230] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[0][239:231] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][240] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][244:241] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][245] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][246] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][247] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][250:248] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][251] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][253:252] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[0][254] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[0][255] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][8:0] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][9] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][10] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][11] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][12] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][18:13] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][19] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][35:20] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][36] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][37] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][43:38] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][44] Yes Yes T4,T12,T13 Yes T4,T12,T13 INPUT
flash_i.seeds[1][51:45] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][52] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][53] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][55:54] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][56] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][57] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][61:58] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][62] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][63] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][64] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][66:65] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][67] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][68] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][77:69] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][78] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[1][79] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][84:80] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][85] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][88:86] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][89] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[1][91:90] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][92] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][93] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][94] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][95] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][98:96] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][99] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][100] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][101] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[1][106:102] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][107] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][108] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][109] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][113:110] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][114] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][124:115] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][125] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][126] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][127] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][138:128] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][139] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][151:140] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][152] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][163:153] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][164] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][176:165] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][177] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][179:178] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][180] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][182:181] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][183] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][185:184] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][186] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[1][193:187] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][194] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][202:195] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][203] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][213:204] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][214] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][216:215] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][217] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][225:218] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][226] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][228:227] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][229] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][230] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][231] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][232] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][233] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][237:234] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][239:238] Yes Yes T2,T12,T13 Yes T2,T12,T13 INPUT
flash_i.seeds[1][245:240] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][246] Yes Yes T2,T4,T13 Yes T2,T4,T13 INPUT
flash_i.seeds[1][254:247] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
flash_i.seeds[1][255] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T1,T2,T3 Yes T1,T3,T4 INPUT
edn_i.edn_fips Yes Yes T1,T3,T4 Yes T1,T3,T13 INPUT
edn_i.edn_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_digest_i.valid Yes Yes T34,T45,T19 Yes T34,T45,T19 INPUT
rom_digest_i.data[255:0] Yes Yes T2,T4,T12 Yes T2,T4,T12 INPUT
intr_op_done_o Yes Yes T1,T2,T12 Yes T1,T2,T12 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T102,T32,T33 Yes T102,T32,T33 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T102,T32,T33 Yes T102,T32,T33 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : keymgr
Line No.TotalCoveredPercent
Branches 49 47 95.92
TERNARY 399 3 2 66.67
TERNARY 482 4 4 100.00
TERNARY 487 2 2 100.00
TERNARY 713 3 2 66.67
TERNARY 717 3 3 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
TERNARY 623 2 2 100.00
TERNARY 630 2 2 100.00
IF 721 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 399 ((cdi_sel == 1'b0)) ? -2-: 399 ((cdi_sel == 1'b1)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Not Covered


LineNo. Expression -1-: 482 ((dest_sel == Aes)) ? -2-: 482 ((dest_sel == Kmac)) ? -3-: 482 ((dest_sel == Otbn)) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T2,T3,T4
0 1 - Covered T1,T2,T3
0 0 1 Covered T2,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 487 (invalid_stage_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 713 (fault_errs) ? -2-: 713 (fault_err_ack) ?

Branches:
-1--2-StatusTests
1 - Covered T32,T33,T17
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 717 (op_errs) ? -2-: 717 (op_err_ack) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 623 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 630 (((~data_sw_en) | wipe_key)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 721 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : keymgr
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AdvDataWidth_A 874 874 0 0
AesKeyKnownO_A 18306689 18148248 0 0
AlertKnownO_A 18306689 18148248 0 0
ErrCntMatch_A 874 874 0 0
FaultCntMatch_A 874 874 0 0
FpvSecCmCtrlCntAlertCheck_A 18306689 70 0 0
FpvSecCmCtrlDataFsmCheck_A 18306689 70 0 0
FpvSecCmCtrlMainFsmCheck_A 18306689 70 0 0
FpvSecCmCtrlOpFsmCheck_A 18306689 70 0 0
FpvSecCmKmacIfCntAlertCheck_A 18306689 70 0 0
FpvSecCmKmacIfFsmCheck_A 18306689 70 0 0
FpvSecCmRegWeOnehotCheck_A 18306689 70 0 0
FpvSecCmReseedCtrlCntAlertCheck_A 18306689 70 0 0
FpvSecCmSideloadCtrlFsmCheck_A 18306689 70 0 0
GenDataWidth_A 874 874 0 0
IdDataWidth_A 874 874 0 0
IntrKnownO_A 18306689 18148248 0 0
KmacDataKnownO_A 17869275 17730381 0 0
KmacKeyKnownO_A 18306689 18148248 0 0
KmacMaskCheck_A 874 874 0 0
LfsrWidth_A 874 874 0 0
OtbnKeyKnownO_A 18306689 18148248 0 0
OutputKeyDiff_A 874 874 0 0
StageMatch_A 874 874 0 0
TlAReadyKnownO_A 18306689 18148248 0 0
TlDValidKnownO_A 18306689 18148248 0 0


AdvDataWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

AesKeyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

ErrCntMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

FaultCntMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

FpvSecCmCtrlCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmCtrlDataFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmCtrlMainFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmCtrlOpFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmKmacIfCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmKmacIfFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmReseedCtrlCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

FpvSecCmSideloadCtrlFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 70 0 0
T9 28497 10 0 0
T10 0 10 0 0
T11 0 20 0 0
T40 0 20 0 0
T108 0 10 0 0
T109 7634 0 0 0
T110 5967 0 0 0
T111 9278 0 0 0
T112 12336 0 0 0
T113 15264 0 0 0
T114 15075 0 0 0
T115 7435 0 0 0
T116 5144 0 0 0
T117 22443 0 0 0

GenDataWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

IdDataWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

IntrKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

KmacDataKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 17869275 17730381 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

KmacKeyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

KmacMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

LfsrWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

OtbnKeyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

OutputKeyDiff_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

StageMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0

TlAReadyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

TlDValidKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 18306689 18148248 0 0
T1 7627 7560 0 0
T2 9962 9896 0 0
T3 2693 2634 0 0
T4 7948 7866 0 0
T5 7902 7840 0 0
T12 3355 3256 0 0
T13 22183 22024 0 0
T14 17646 17554 0 0
T15 17335 17228 0 0
T16 126527 125369 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%