Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : kmac
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.76 98.75 96.74 100.00 100.00 97.06 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 98.76 98.75 96.74 100.00 100.00 97.06 100.00



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.76 98.75 96.74 100.00 100.00 97.06 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.58 97.89 92.55 99.89 76.76 95.53 98.88


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
gen_entropy.u_entropy 97.83 100.00 87.97 100.00 100.00 98.98 100.00
gen_entropy.u_prim_sync_reqack_data 95.83 100.00 83.33 100.00 100.00
intr_fifo_empty 86.94 90.00 77.78 80.00 100.00
intr_kmac_done 93.75 100.00 75.00 100.00 100.00
intr_kmac_err 93.75 100.00 75.00 100.00 100.00
kmac_csr_assert 100.00 100.00
sha3pad_assert_cov_if 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_app_intf 83.87 94.07 88.60 44.44 92.23 100.00
u_errchk 92.66 95.18 94.59 80.00 93.55 100.00
u_kmac_core 95.80 98.88 92.86 100.00 100.00 91.38 91.67
u_msgfifo 97.43 100.00 95.83 94.52 100.00 94.23 100.00
u_prim_lc_sync 100.00 100.00 100.00 100.00
u_reg 98.99 99.25 96.97 100.00 98.72 100.00
u_sha3 96.59 98.85 95.87 100.00 88.10 96.71 100.00
u_sha3_done_sender 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00
u_staterd 89.86 89.88 81.02 88.54 100.00
u_tlul_adapter_msgfifo 79.91 87.01 74.59 77.38 80.65


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : kmac
Line No.TotalCoveredPercent
TOTAL16015898.75
ALWAYS34600
ALWAYS34622100.00
ALWAYS352100.00
CONT_ASSIGN42111100.00
CONT_ASSIGN42211100.00
CONT_ASSIGN42611100.00
ALWAYS42999100.00
CONT_ASSIGN46411100.00
CONT_ASSIGN46511100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN46911100.00
CONT_ASSIGN47311100.00
CONT_ASSIGN47411100.00
CONT_ASSIGN47811100.00
CONT_ASSIGN48111100.00
ALWAYS48866100.00
ALWAYS50166100.00
CONT_ASSIGN51811100.00
CONT_ASSIGN52511100.00
CONT_ASSIGN52811100.00
CONT_ASSIGN52911100.00
CONT_ASSIGN53011100.00
CONT_ASSIGN53211100.00
CONT_ASSIGN53311100.00
CONT_ASSIGN53511100.00
CONT_ASSIGN53711100.00
CONT_ASSIGN53911100.00
CONT_ASSIGN54311100.00
CONT_ASSIGN54511100.00
CONT_ASSIGN54611100.00
CONT_ASSIGN54911100.00
CONT_ASSIGN55011100.00
CONT_ASSIGN55311100.00
ALWAYS56155100.00
CONT_ASSIGN57111100.00
CONT_ASSIGN57711100.00
CONT_ASSIGN57811100.00
CONT_ASSIGN57911100.00
CONT_ASSIGN58711100.00
CONT_ASSIGN62911100.00
CONT_ASSIGN63511100.00
CONT_ASSIGN64311100.00
CONT_ASSIGN64811100.00
ALWAYS65155100.00
CONT_ASSIGN67911100.00
CONT_ASSIGN68411100.00
ALWAYS68777100.00
CONT_ASSIGN72311100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN74511100.00
ALWAYS76533100.00
ALWAYS7692828100.00
ALWAYS90833100.00
CONT_ASSIGN91611100.00
CONT_ASSIGN91611100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
CONT_ASSIGN102511100.00
CONT_ASSIGN103011100.00
CONT_ASSIGN103111100.00
CONT_ASSIGN103311100.00
CONT_ASSIGN103600
ALWAYS115700
ALWAYS115722100.00
CONT_ASSIGN124411100.00
CONT_ASSIGN138711100.00
CONT_ASSIGN140111100.00
CONT_ASSIGN140811100.00
CONT_ASSIGN141311100.00
ALWAYS14196583.33
CONT_ASSIGN142811100.00
CONT_ASSIGN143011100.00
ALWAYS144244100.00
CONT_ASSIGN144811100.00
ALWAYS147144100.00
ALWAYS148133100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149611100.00
CONT_ASSIGN149811100.00
CONT_ASSIGN149811100.00
CONT_ASSIGN149811100.00
CONT_ASSIGN149811100.00
CONT_ASSIGN149811100.00
CONT_ASSIGN149811100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
346 1 1
347 1 1
352 0 1
421 1 1
422 1 1
426 1 1
429 1 1
430 1 1
431 1 1
432 1 1
434 1 1
436 1 1
440 1 1
444 1 1
448 1 1
464 1 1
465 1 1
466 1 1
469 1 1
473 1 1
474 1 1
478 1 1
481 1 1
488 1 1
489 1 1
490 1 1
491 1 1
492 1 1
493 1 1
MISSING_ELSE
MISSING_ELSE
501 1 1
502 1 1
503 1 1
504 1 1
505 1 1
506 1 1
MISSING_ELSE
MISSING_ELSE
518 1 1
525 1 1
528 1 1
529 1 1
530 1 1
532 1 1
533 1 1
535 1 1
537 1 1
539 1 1
543 1 1
545 1 1
546 1 1
549 1 1
550 1 1
553 1 1
561 1 1
562 1 1
563 1 1
564 1 1
566 1 1
571 1 1
577 1 1
578 1 1
579 1 1
587 1 1
629 1 1
635 1 1
643 1 1
648 1 1
651 1 1
652 1 1
653 1 1
655 1 1
656 1 1
679 1 1
684 1 1
687 1 1
689 1 1
694 1 1
698 1 1
702 1 1
706 1 1
710 1 1
723 1 1
728 1 1
735 1 1
745 1 1
765 3 3
769 1 1
771 1 1
772 1 1
774 1 1
776 1 1
778 1 1
779 1 1
782 1 1
785 1 1
791 1 1
792 1 1
794 1 1
799 1 1
800 1 1
801 1 1
803 1 1
809 1 1
814 1 1
815 1 1
817 1 1
819 1 1
825 1 1
826 1 1
828 1 1
834 1 1
835 1 1
847 1 1
848 1 1
MISSING_ELSE
908 1 1
909 1 1
911 1 1
916 2 2
992 1 1
994 1 1
1025 1 1
1030 1 1
1031 1 1
1033 1 1
1036 unreachable
1157 1 1
1158 1 1
1244 1 1
1387 1 1
1401 1 1
1408 1 1
1413 1 1
1419 1 1
1420 1 1
1421 1 1
1422 0 1
1423 1 1
1424 1 1
MISSING_ELSE
1428 1 1
1430 1 1
1442 1 1
1443 1 1
1444 1 1
1445 1 1
MISSING_ELSE
1448 1 1
1471 1 1
1472 1 1
1473 1 1
1475 1 1
MISSING_ELSE
1481 1 1
1482 1 1
1485 1 1
1492 1 1
1496 1 1
1498 6 6


Cond Coverage for Module : kmac
TotalCoveredPercent
Conditions928996.74
Logical928996.74
Non-Logical00
Event00

 LINE       426
 EXPRESSION (cmd_update ? cmd_q : CmdNone)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       464
 EXPRESSION (sha3_fsm == StIdle)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       465
 EXPRESSION (sha3_fsm == StAbsorb)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       466
 EXPRESSION (sha3_fsm == StSqueeze)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       478
 EXPRESSION (sha3_fsm == StIdle)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       530
 EXPRESSION (reg2hw.cmd.entropy_req.q && reg2hw.cmd.entropy_req.qe)
             ------------1-----------    ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T8,T18

 LINE       539
 EXPRESSION (reg2hw.cmd.hash_cnt_clr.qe && reg2hw.cmd.hash_cnt_clr.q)
             -------------1------------    ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T8,T18

 LINE       543
 EXPRESSION (reg2hw.cfg_shadowed.entropy_ready.q & reg2hw.cfg_shadowed.entropy_ready.qe)
             -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT9,T10,T11
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       550
 EXPRESSION (cfg_msg_mask & msg_valid & msg_ready)
             ------1-----   ----2----   ----3----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT1,T2,T3
111CoveredT1,T2,T3

 LINE       563
 EXPRESSION ((sha3_fsm == StIdle) && (msgfifo_empty || SecIdleAcceptSwMsg))
             ----------1---------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT13,T30
11CoveredT1,T2,T3

 LINE       563
 SUB-EXPRESSION (sha3_fsm == StIdle)
                ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       563
 SUB-EXPRESSION (msgfifo_empty || SecIdleAcceptSwMsg)
                 ------1------    ---------2--------
-1--2-StatusTests
0-CoveredT1,T2,T3
1-CoveredT1,T2,T3

 LINE       571
 EXPRESSION (reg2hw.cmd.err_processed.q & reg2hw.cmd.err_processed.qe)
             -------------1------------   -------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT4,T5,T39

 LINE       629
 EXPRESSION (msgfifo_empty_q & ((~msgfifo_empty)))
             -------1-------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       635
 EXPRESSION (msgfifo_full ? 1'b1 : (msgfifo_empty_negedge ? 1'b0 : (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q)))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT7,T8,T32

 LINE       635
 SUB-EXPRESSION (msgfifo_empty_negedge ? 1'b0 : (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q))
                 ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       635
 SUB-EXPRESSION (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q)
                 ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       643
 EXPRESSION (app_active ? 1'b1 : ((sha3_fsm != StAbsorb) ? 1'b1 : (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q)))))
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T8

 LINE       643
 SUB-EXPRESSION ((sha3_fsm != StAbsorb) ? 1'b1 : (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q))))
                 -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       643
 SUB-EXPRESSION (sha3_fsm != StAbsorb)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       643
 SUB-EXPRESSION (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q)))
                 ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       648
 EXPRESSION (msgfifo_empty_gate ? 1'b0 : msgfifo_empty)
             ---------1--------
-1-StatusTests
0CoveredT7,T8,T32
1CoveredT1,T2,T3

 LINE       679
 EXPRESSION (sha3_err.valid | app_err.valid | entropy_err.valid | errchecker_err.valid)
             -------1------   ------2------   --------3--------   ----------4---------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT21,T19,T42
0010CoveredT39,T63,T64
0100CoveredT21,T4,T5
1000CoveredT15,T16,T17

 LINE       723
 EXPRESSION (sha3_count_error | kmac_entropy_hash_counter_error | key_index_error | msgfifo_counter_error)
             --------1-------   ---------------2---------------   -------3-------   ----------4----------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT13,T29,T30
0010CoveredT13,T29,T30
0100CoveredT13,T29,T30
1000CoveredT13,T29,T30

 LINE       735
 EXPRESSION (sha3_state_error | kmac_errchk_state_error | kmac_core_state_error | kmac_app_state_error | kmac_entropy_state_error | kmac_state_error)
             --------1-------   -----------2-----------   ----------3----------   ----------4---------   ------------5-----------   --------6-------
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T2,T3
000001CoveredT13,T29,T30
000010CoveredT13,T29,T30
000100CoveredT13,T29,T30
001000CoveredT13,T29,T30
010000CoveredT13,T29,T30
100000CoveredT13,T29,T30

 LINE       776
 EXPRESSION (kmac_cmd == CmdStart)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       778
 EXPRESSION (CShake == app_sha3_mode)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T8

 LINE       792
 EXPRESSION (app_kmac_en ? KmacKeyBlock : KmacMsgFeed)
             -----1-----
-1-StatusTests
0CoveredT2,T7,T8
1CoveredT2,T7,T8

 LINE       1025
 EXPRESSION (tlram_req & tlram_we)
             ----1----   ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       1158
 EXPRESSION (reg_state_valid ? reg_state[i] : 'b0)
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1401
 SUB-EXPRESSION (reg2hw.alert_test.fatal_fault_err.q & reg2hw.alert_test.fatal_fault_err.qe)
                 -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT102,T103,T104
10CoveredT1,T2,T3
11CoveredT102,T103,T104

 LINE       1401
 SUB-EXPRESSION (reg2hw.alert_test.recov_operation_err.q & reg2hw.alert_test.recov_operation_err.qe)
                 -------------------1-------------------   --------------------2-------------------
-1--2-StatusTests
01CoveredT102,T103,T104
10CoveredT1,T2,T3
11CoveredT103,T104,T105

 LINE       1430
 EXPRESSION (shadowed_storage_err | alert_intg_err | sparse_fsm_error | counter_error | control_integrity_error)
             ----------1---------   -------2------   --------3-------   ------4------   -----------5-----------
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001Not Covered
00010CoveredT13,T29,T30
00100CoveredT12,T13,T14
01000CoveredT13,T29,T30
10000Not Covered

Toggle Coverage for Module : kmac
TotalCoveredPercent
Totals 71 71 100.00
Total Bits 6534 6534 100.00
Total Bits 0->1 3267 3267 100.00
Total Bits 1->0 3267 3267 100.00

Ports 71 71 100.00
Port Bits 6534 6534 100.00
Port Bits 0->1 3267 3267 100.00
Port Bits 1->0 3267 3267 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T12,T13,T15 Yes T1,T2,T3 INPUT
rst_shadowed_ni Yes Yes T12,T13,T15 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T12,T13,T15 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T2,T8,T34 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T3,T8,T35 Yes T3,T8,T35 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T24,T53,T54 Yes T24,T53,T54 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T33 Yes T1,T2,T33 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T103,T104,T105 Yes T103,T104,T105 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T12,T102,T103 Yes T12,T102,T103 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T103,T104,T105 Yes T103,T104,T105 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T12,T102,T103 Yes T12,T102,T103 OUTPUT
keymgr_key_i.key[0][5:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][6] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][8:7] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][9] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][12:10] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][13] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][18:14] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][19] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][21:20] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][22] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][25:23] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][30:26] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][38:31] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][39] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][43:40] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][44] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][51:45] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][52] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][58:53] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][59] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][60] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][62:61] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][65:63] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][66] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][67] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][68] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][70:69] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][71] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][72] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][73] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][80:74] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][81] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][83:82] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][84] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][86:85] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][87] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][90:88] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][93:91] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][95:94] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][101:96] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][102] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][103] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][104] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][105] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][106] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][107] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][113:108] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][114] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][116:115] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][117] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][119:118] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][120] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][125:121] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][126] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][128:127] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][130:129] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][132:131] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][134:133] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][135] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][136] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][141:137] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][142] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][150:143] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][151] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][156:152] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][157] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][170:158] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][171] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][178:172] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][180:179] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][183:181] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][184] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][194:185] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][195] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][196] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][197] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][198] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][199] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][202:200] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][203] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][205:204] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][206] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][207] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][209:208] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][219:210] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][220] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][225:221] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][226] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][227] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][228] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][243:229] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][244] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][245] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][247:246] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][248] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][249] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][250] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][251] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][252] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[0][253] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[0][255:254] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][5:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][6] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][10:7] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][13:11] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][16:14] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][17] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][18] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][19] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][25:20] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][26] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][31:27] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][32] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][34:33] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][35] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][44:36] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][45] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][56:46] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][58:57] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][61:59] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][62] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][66:63] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][68:67] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][69] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][72:70] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][80:73] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][81] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][85:82] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][86] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][87] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][88] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][91:89] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][92] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][95:93] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][96] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][103:97] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][104] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][106:105] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][107] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][109:108] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][110] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][111] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][112] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][113] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][114] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][115] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][116] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][125:117] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][126] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][133:127] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][135:134] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][138:136] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][140:139] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][144:141] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][145] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][146] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][147] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][151:148] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][152] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][153] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][154] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][155] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][156] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][161:157] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][163:162] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][166:164] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][167] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][169:168] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][171:170] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][175:172] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][176] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][179:177] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][180] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][182:181] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][185:183] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][188:186] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][189] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][200:190] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][201] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][207:202] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][209:208] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][210] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][212:211] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][213] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][214] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][215] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][218:216] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][221:219] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][222] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][223] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][224] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][225] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][226] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][230:227] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][231] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][240:232] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][241] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][243:242] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][244] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][246:245] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][247] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][251:248] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.key[1][253:252] Yes Yes T2,T8,T34 Yes T2,T8,T34 INPUT
keymgr_key_i.key[1][255:254] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
keymgr_key_i.valid Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[0].last Yes Yes T2,T8,T4 Yes T2,T7,T8 INPUT
app_i[0].strb[7:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[0].data[63:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[0].valid Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[1].last Yes Yes T2,T8,T21 Yes T2,T8,T21 INPUT
app_i[1].strb[7:0] Yes Yes T2,T8,T18 Yes T2,T8,T18 INPUT
app_i[1].data[63:0] Yes Yes T2,T8,T21 Yes T2,T8,T21 INPUT
app_i[1].valid Yes Yes T2,T8,T21 Yes T2,T8,T21 INPUT
app_i[2].last Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[2].strb[7:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[2].data[63:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_i[2].valid Yes Yes T2,T7,T8 Yes T2,T7,T8 INPUT
app_o[0].error Yes Yes T4,T5,T12 Yes T4,T5,T12 OUTPUT
app_o[0].digest_share1[383:0] Yes Yes T2,T8,T21 Yes T2,T8,T21 OUTPUT
app_o[0].digest_share0[383:0] Yes Yes T2,T8,T21 Yes T2,T8,T21 OUTPUT
app_o[0].done Yes Yes T2,T7,T8 Yes T2,T7,T8 OUTPUT
app_o[0].ready Yes Yes T2,T7,T8 Yes T2,T7,T8 OUTPUT
app_o[1].error Yes Yes T15,T16,T17 Yes T15,T16,T17 OUTPUT
app_o[1].digest_share1[383:0] Yes Yes T2,T8,T21 Yes T2,T8,T21 OUTPUT
app_o[1].digest_share0[383:0] Yes Yes T2,T8,T21 Yes T2,T8,T21 OUTPUT
app_o[1].done Yes Yes T2,T8,T21 Yes T2,T8,T21 OUTPUT
app_o[1].ready Yes Yes T2,T8,T21 Yes T2,T8,T21 OUTPUT
app_o[2].error Yes Yes T15,T16,T17 Yes T15,T16,T17 OUTPUT
app_o[2].digest_share1[383:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 OUTPUT
app_o[2].digest_share0[383:0] Yes Yes T2,T7,T8 Yes T2,T7,T8 OUTPUT
app_o[2].done Yes Yes T2,T7,T8 Yes T2,T7,T8 OUTPUT
app_o[2].ready Yes Yes T2,T7,T8 Yes T2,T7,T8 OUTPUT
entropy_o.edn_req Yes Yes T1,T3,T8 Yes T1,T3,T8 OUTPUT
entropy_i.edn_bus[31:0] Yes Yes T1,T3,T8 Yes T1,T3,T8 INPUT
entropy_i.edn_fips Yes Yes T1,T3,T8 Yes T1,T3,T8 INPUT
entropy_i.edn_ack Yes Yes T1,T3,T8 Yes T1,T3,T8 INPUT
lc_escalate_en_i[3:0] Yes Yes T12,T26,T27 Yes T12,T26,T27 INPUT
intr_kmac_done_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_fifo_empty_o Yes Yes T7,T8,T32 Yes T7,T8,T32 OUTPUT
intr_kmac_err_o Yes Yes T21,T4,T5 Yes T21,T4,T5 OUTPUT
en_masking_o Unreachable Unreachable Unreachable OUTPUT
idle_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

FSM Coverage for Module : kmac
Summary for FSM :: kmac_st
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 13 13 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: kmac_st
statesLine No.CoveredTests
KmacDigest 817 Covered T1,T2,T3
KmacIdle 785 Covered T1,T2,T3
KmacKeyBlock 792 Covered T2,T7,T8
KmacMsgFeed 782 Covered T1,T2,T3
KmacPrefix 779 Covered T2,T7,T8
KmacTerminalError 834 Covered T12,T13,T14


transitionsLine No.CoveredTests
KmacDigest->KmacIdle 826 Covered T1,T2,T3
KmacDigest->KmacTerminalError 848 Covered T46,T50,T51
KmacIdle->KmacMsgFeed 782 Covered T1,T2,T3
KmacIdle->KmacPrefix 779 Covered T2,T7,T8
KmacIdle->KmacTerminalError 848 Covered T13,T29,T30
KmacKeyBlock->KmacMsgFeed 801 Covered T2,T7,T8
KmacKeyBlock->KmacTerminalError 848 Covered T14,T31,T43
KmacMsgFeed->KmacDigest 817 Covered T1,T2,T3
KmacMsgFeed->KmacIdle 814 Covered T2,T7,T8
KmacMsgFeed->KmacTerminalError 848 Covered T12,T26,T56
KmacPrefix->KmacKeyBlock 792 Covered T2,T7,T8
KmacPrefix->KmacMsgFeed 792 Covered T2,T7,T8
KmacPrefix->KmacTerminalError 848 Covered T27,T28,T47



Branch Coverage for Module : kmac
Line No.TotalCoveredPercent
Branches 68 66 97.06
TERNARY 426 2 2 100.00
TERNARY 635 4 4 100.00
TERNARY 643 4 4 100.00
TERNARY 648 2 2 100.00
CASE 434 6 5 83.33
IF 488 3 3 100.00
IF 561 3 3 100.00
IF 651 2 2 100.00
CASE 689 6 6 100.00
IF 765 2 2 100.00
CASE 774 15 15 100.00
IF 847 2 2 100.00
TERNARY 1158 2 2 100.00
IF 1419 4 3 75.00
IF 1442 3 3 100.00
IF 1471 3 3 100.00
IF 1481 2 2 100.00
IF 501 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 426 (cmd_update) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 635 (msgfifo_full) ? -2-: 635 (msgfifo_empty_negedge) ? -3-: 635 (msgfifo2kmac_process) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T7,T8,T32
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 643 (app_active) ? -2-: 643 ((sha3_fsm != StAbsorb)) ? -3-: 643 (msgfifo2kmac_process) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T2,T7,T8
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 648 (msgfifo_empty_gate) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T7,T8,T32


LineNo. Expression -1-: 434 case (kmac_cmd)

Branches:
-1-StatusTests
CmdStart Covered T1,T2,T3
CmdProcess Covered T1,T2,T3
CmdManualRun Covered T2,T7,T8
CmdDone Covered T1,T2,T3
CmdNone Covered T1,T2,T3
default Not Covered


LineNo. Expression -1-: 488 if ((!rst_ni)) -2-: 490 if (engine_stable)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 561 if ((!rst_ni)) -2-: 563 if (((sha3_fsm == StIdle) && (msgfifo_empty || SecIdleAcceptSwMsg)))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 651 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 689 case (1'b1)

Branches:
-1-StatusTests
app_err.valid Covered T21,T4,T5
errchecker_err.valid Covered T21,T19,T42
sha3_err.valid Covered T15,T16,T17
entropy_err.valid Covered T39,T63,T64
msgfifo_err.valid Covered T13,T29,T30
default Covered T1,T2,T3


LineNo. Expression -1-: 765 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 774 case (kmac_st) -2-: 776 if ((kmac_cmd == CmdStart)) -3-: 778 if ((CShake == app_sha3_mode)) -4-: 791 if (sha3_block_processed) -5-: 792 (app_kmac_en) ? -6-: 800 if (sha3_block_processed) -7-: 809 if ((prim_mubi_pkg::mubi4_test_true_strict(sha3_absorbed) && prim_mubi_pkg::mubi4_test_true_strict(sha3_done))) -8-: 815 if ((prim_mubi_pkg::mubi4_test_true_strict(sha3_absorbed) && prim_mubi_pkg::mubi4_test_false_loose(sha3_done))) -9-: 825 if (prim_mubi_pkg::mubi4_test_true_strict(sha3_done))

Branches:
-1--2--3--4--5--6--7--8--9-StatusTests
KmacIdle 1 1 - - - - - - Covered T2,T7,T8
KmacIdle 1 0 - - - - - - Covered T1,T2,T3
KmacIdle 0 - - - - - - - Covered T1,T2,T3
KmacPrefix - - 1 1 - - - - Covered T2,T7,T8
KmacPrefix - - 1 0 - - - - Covered T2,T7,T8
KmacPrefix - - 0 - - - - - Covered T2,T7,T8
KmacKeyBlock - - - - 1 - - - Covered T2,T7,T8
KmacKeyBlock - - - - 0 - - - Covered T2,T7,T8
KmacMsgFeed - - - - - 1 - - Covered T2,T7,T8
KmacMsgFeed - - - - - 0 1 - Covered T1,T2,T3
KmacMsgFeed - - - - - 0 0 - Covered T1,T2,T3
KmacDigest - - - - - - - 1 Covered T1,T2,T3
KmacDigest - - - - - - - 0 Covered T1,T2,T3
KmacTerminalError - - - - - - - - Covered T12,T13,T14
default - - - - - - - - Covered T13,T29,T30


LineNo. Expression -1-: 847 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en[0]))

Branches:
-1-StatusTests
1 Covered T12,T13,T14
0 Covered T1,T2,T3


LineNo. Expression -1-: 1158 (reg_state_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 1419 if ((!rst_ni)) -2-: 1421 if (alert_recov_operation) -3-: 1423 if (err_processed)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Not Covered
0 0 1 Covered T4,T5,T39
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1442 if ((!rst_ni)) -2-: 1444 if (alert_fatal)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T12,T13,T14
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1471 if ((!rst_ni)) -2-: 1473 if (alerts[1])

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T12,T13,T14
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1481 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 501 if ((!rst_ni)) -2-: 503 if (engine_stable)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : kmac
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 35 35 100.00 35 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 35 35 100.00 35 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertKnownO_A 2147483647 2147483647 0 0
CmdSparse_M 2147483647 1267218 0 0
EnMaskingKnown_A 2147483647 2147483647 0 0
EntropyReadyLatched_A 2147483647 336712 0 0
EntrySizeRegSameToEntrySizePkg_A 1030 1030 0 0
ErrProcessedLatched_A 2147483647 900 0 0
FifoEmpty_A 2147483647 2147483647 0 0
FpvSecCmErrorCheckFsmCheck_A 2147483647 70 0 0
FpvSecCmKeccackFsmCheck_A 2147483647 70 0 0
FpvSecCmKeyIndexCountCheck_A 2147483647 70 0 0
FpvSecCmKmacAppFsmCheck_A 2147483647 70 0 0
FpvSecCmKmacCoreFsmCheck_A 2147483647 70 0 0
FpvSecCmKmacFsmCheck_A 2147483647 70 0 0
FpvSecCmRegWeOnehotCheck_A 2147483647 70 0 0
FpvSecCmRoundCountCheck_A 2147483647 70 0 0
FpvSecCmSHA3FsmCheck_A 2147483647 70 0 0
FpvSecCmSHA3padFsmCheck_A 2147483647 70 0 0
FpvSecCmSentMsgCountCheck_A 2147483647 70 0 0
KmacCmd_A 2147483647 2147483647 0 0
KmacDone_A 2147483647 2147483647 0 0
KmacErr_A 2147483647 2147483647 0 0
KmacStKnown_A 2147483647 2147483647 0 0
NumAlerts2_A 1030 1030 0 0
NumEntriesRegSameToNumEntriesPkg_A 1030 1030 0 0
PrefixRegSameToPrefixPkg_A 1030 1030 0 0
SecretKeyDivideBy32_A 1030 1030 0 0
Sha3AbsorbedPulse_A 2147483647 346137 0 0
TlOAReadyKnown_A 2147483647 2147483647 0 0
TlODValidKnown_A 2147483647 2147483647 0 0
g_testassertion.FpvSecCmEntropyFsmCheck_A 2147483647 70 0 0
g_testassertion.FpvSecCmHashCountCheck_A 2147483647 70 0 0
g_testassertion.FpvSecCmMsgFifoRptrCheck_A 2147483647 70 0 0
g_testassertion.FpvSecCmMsgFifoWptrCheck_A 2147483647 70 0 0
g_testassertion.FpvSecCmPackerCountCheck_A 2147483647 70 0 0
u_state_regs_A 2147483647 2147483647 0 0


AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

CmdSparse_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 1267218 0 0
T1 683496 7467 0 0
T2 138085 819 0 0
T3 102731 782 0 0
T7 46817 33 0 0
T8 146567 1006 0 0
T32 418704 1073 0 0
T33 151735 994 0 0
T34 217070 387 0 0
T35 560426 303 0 0
T36 142775 1349 0 0

EnMaskingKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

EntropyReadyLatched_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 336712 0 0
T1 683496 2275 0 0
T2 138085 162 0 0
T3 102731 241 0 0
T7 46817 8 0 0
T8 146567 174 0 0
T32 418704 144 0 0
T33 151735 302 0 0
T34 217070 120 0 0
T35 560426 38 0 0
T36 142775 179 0 0

EntrySizeRegSameToEntrySizePkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

ErrProcessedLatched_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 900 0 0
T4 36703 4 0 0
T5 10457 2 0 0
T6 0 20 0 0
T12 3758 0 0 0
T18 975712 0 0 0
T39 0 2 0 0
T58 0 20 0 0
T59 0 8 0 0
T63 0 9 0 0
T64 0 11 0 0
T65 0 18 0 0
T84 11154 0 0 0
T85 25663 0 0 0
T102 753 0 0 0
T103 1684 0 0 0
T104 1489 0 0 0
T106 0 16 0 0
T107 19816 0 0 0

FifoEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

FpvSecCmErrorCheckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmKeccackFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmKeyIndexCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmKmacAppFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmKmacCoreFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmKmacFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmRoundCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmSHA3FsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmSHA3padFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

FpvSecCmSentMsgCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

KmacCmd_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

KmacDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

KmacErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

KmacStKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

NumAlerts2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

NumEntriesRegSameToNumEntriesPkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

PrefixRegSameToPrefixPkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

SecretKeyDivideBy32_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

Sha3AbsorbedPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 346137 0 0
T1 683496 2337 0 0
T2 138085 162 0 0
T3 102731 246 0 0
T7 46817 8 0 0
T8 146567 175 0 0
T32 418704 145 0 0
T33 151735 310 0 0
T34 217070 121 0 0
T35 560426 39 0 0
T36 142775 182 0 0

TlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

TlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

g_testassertion.FpvSecCmEntropyFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

g_testassertion.FpvSecCmHashCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

g_testassertion.FpvSecCmMsgFifoRptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

g_testassertion.FpvSecCmMsgFifoWptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

g_testassertion.FpvSecCmPackerCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 70 0 0
T13 596271 20 0 0
T29 0 20 0 0
T30 0 10 0 0
T38 433160 0 0 0
T39 989 0 0 0
T42 904361 0 0 0
T108 0 10 0 0
T109 0 10 0 0
T110 292934 0 0 0
T111 9784 0 0 0
T112 534706 0 0 0
T113 187609 0 0 0
T114 962238 0 0 0
T115 956 0 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%