Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : otp_ctrl
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.02 95.51 86.96 87.33 93.10 97.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 96.71 96.75 96.15 97.06 96.43 97.18



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.71 96.75 96.15 97.06 96.43 97.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.38 93.87 96.65 95.85 92.36 97.24 96.33


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
core_tlul_assert_device 100.00 100.00 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
gen_alert_tx[2].u_prim_alert_sender 100.00 100.00
gen_alert_tx[3].u_prim_alert_sender 100.00 100.00
gen_alert_tx[4].u_prim_alert_sender 100.00 100.00
gen_bufs[0].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[0].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[10].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[10].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[1].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[1].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[2].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[2].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[3].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[3].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[4].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[4].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[5].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[5].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[6].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[6].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[7].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[7].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[8].u_prim_mubi8_sender_read_lock 79.17 37.50 100.00 100.00
gen_bufs[8].u_prim_mubi8_sender_write_lock 79.17 37.50 100.00 100.00
gen_bufs[9].u_prim_mubi8_sender_read_lock 100.00 100.00 100.00 100.00
gen_bufs[9].u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_partitions[0].gen_unbuffered.u_part_unbuf 97.56 100.00 100.00 100.00 90.00 98.15 97.22
gen_partitions[10].gen_lifecycle.u_part_buf 91.07 90.44 100.00 75.02 95.24 100.00 85.71
gen_partitions[1].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[2].gen_unbuffered.u_part_unbuf 97.83 100.00 97.06 100.00 91.67 98.25 100.00
gen_partitions[3].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[4].gen_unbuffered.u_part_unbuf 98.32 100.00 100.00 100.00 91.67 98.25 100.00
gen_partitions[5].gen_buffered.u_part_buf 95.49 98.28 95.24 100.00 96.00 97.06 86.36
gen_partitions[6].gen_buffered.u_part_buf 93.35 96.65 92.86 100.00 91.67 92.54 86.36
gen_partitions[7].gen_buffered.u_part_buf 96.44 98.54 93.75 100.00 94.44 96.25 95.65
gen_partitions[8].gen_buffered.u_part_buf 95.98 98.54 93.75 100.00 91.67 96.25 95.65
gen_partitions[9].gen_buffered.u_part_buf 96.44 98.54 93.75 100.00 94.44 96.25 95.65
otp_ctrl_core_csr_assert 100.00 100.00
prim_tlul_assert_device 100.00 100.00 100.00 100.00
u_edn_arb 93.35 92.31 87.76 100.00 93.33
u_intr_error 100.00 100.00 100.00 100.00 100.00
u_intr_operation_done 100.00 100.00 100.00 100.00 100.00
u_keygmr_key_valid 100.00 100.00 100.00
u_otp 98.91 93.68 99.80 100.00 100.00 100.00 100.00
u_otp_arb 97.25 98.07 97.16 100.00 93.75
u_otp_ctrl_dai 90.09 85.64 91.96 100.00 85.96 89.73 87.23
u_otp_ctrl_kdi 97.21 99.63 99.64 100.00 90.91 95.70 97.37
u_otp_ctrl_lci 100.00 100.00 100.00 100.00 100.00 100.00 100.00
u_otp_ctrl_lfsr_timer 97.17 100.00 97.47 85.58 100.00 100.00 100.00
u_otp_ctrl_scrmbl 96.92 81.50 100.00 100.00 100.00 100.00 100.00
u_otp_init_sync 100.00 100.00 100.00
u_otp_rsp_fifo 96.83 100.00 92.31 95.00 100.00
u_part_sel_idx 74.55 65.65 89.83 88.89 53.85
u_prim_edn_req 92.19 100.00 93.75 100.00 75.00
u_prim_lc_sender_otp_broadcast_valid 100.00 100.00 100.00
u_prim_lc_sender_rma_token_valid 100.00 100.00 100.00
u_prim_lc_sender_secrets_valid 100.00 100.00 100.00
u_prim_lc_sender_test_tokens_valid 100.00 100.00 100.00
u_prim_lc_sync_check_byp_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_creator_seed_sw_rw_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_dft_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_escalate_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_owner_seed_sw_rw_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_seed_hw_rd_en 100.00 100.00 100.00 100.00
u_reg_core 99.17 99.65 96.20 100.00 100.00 100.00
u_scrmbl_mtx 79.55 75.00 99.45 100.00 43.75
u_tlul_adapter_sram 93.04 89.75 92.65 89.77 100.00
u_tlul_lc_gate 92.41 99.21 92.86 85.71 96.77 87.50

Line Coverage for Module : otp_ctrl
Line No.TotalCoveredPercent
TOTAL15614995.51
CONT_ASSIGN25111100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
ALWAYS284141392.86
ALWAYS30833100.00
ALWAYS324111090.91
CONT_ASSIGN38211100.00
CONT_ASSIGN38611100.00
CONT_ASSIGN39011100.00
CONT_ASSIGN39411100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN40311100.00
CONT_ASSIGN40411100.00
ALWAYS40755100.00
ALWAYS4341919100.00
CONT_ASSIGN48811100.00
CONT_ASSIGN49611100.00
ALWAYS49999100.00
ALWAYS5211010100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN59311100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64211100.00
CONT_ASSIGN76511100.00
CONT_ASSIGN76611100.00
CONT_ASSIGN76711100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN79911100.00
ALWAYS87622100.00
ALWAYS93422100.00
ALWAYS96144100.00
CONT_ASSIGN98811100.00
ALWAYS99133100.00
CONT_ASSIGN104311100.00
CONT_ASSIGN104511100.00
CONT_ASSIGN1079100.00
CONT_ASSIGN1130100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN1240100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN1300100.00
CONT_ASSIGN1312100.00
CONT_ASSIGN133611100.00
ALWAYS134822100.00
CONT_ASSIGN136211100.00
ALWAYS139099100.00
CONT_ASSIGN142111100.00
CONT_ASSIGN142211100.00
CONT_ASSIGN142411100.00
CONT_ASSIGN142611100.00
CONT_ASSIGN143011100.00
CONT_ASSIGN143211100.00
CONT_ASSIGN143411100.00
CONT_ASSIGN143911100.00
CONT_ASSIGN144111100.00
CONT_ASSIGN144311100.00
CONT_ASSIGN147511100.00
CONT_ASSIGN147711100.00
CONT_ASSIGN148111100.00
CONT_ASSIGN148511100.00
CONT_ASSIGN148911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
251 1 1
253 10 10
284 1 1
285 1 1
286 1 1
287 1 1
288 1 1
289 1 1
292 0 1
MISSING_ELSE
297 1 1
298 1 1
299 1 1
300 1 1
301 1 1
302 1 1
303 1 1
308 1 1
309 1 1
311 1 1
324 1 1
329 1 1
330 1 1
334 1 1
335 1 1
336 1 1
337 1 1
MISSING_ELSE
MISSING_ELSE
341 1 1
342 1 1
343 1 1
344 0 1
MISSING_ELSE
MISSING_ELSE
382 1 1
386 1 1
390 1 1
394 1 1
395 1 1
403 1 1
404 1 1
407 1 1
408 1 1
410 1 1
412 1 1
413 1 1
434 1 1
435 1 1
436 1 1
438 1 1
440 1 1
443 1 1
445 1 1
448 1 1
449 1 1
MISSING_ELSE
453 1 1
455 1 1
459 1 1
462 1 1
464 1 1
469 1 1
470 1 1
MISSING_ELSE
472 1 1
473 1 1
MISSING_ELSE
478 1 1
488 1 1
496 1 1
499 1 1
500 1 1
501 1 1
502 1 1
503 1 1
505 1 1
506 1 1
507 1 1
508 1 1
521 1 1
523 1 1
525 1 1
527 1 1
529 1 1
538 1 1
540 1 1
541 1 1
542 1 1
543 1 1
585 1 1
593 1 1
640 1 1
642 1 1
765 1 1
766 1 1
767 1 1
797 1 1
799 1 1
876 1 1
877 1 1
934 1 1
935 1 1
961 1 1
962 1 1
963 1 1
964 1 1
988 1 1
991 1 1
992 1 1
994 1 1
1043 1 1
1045 1 1
1079 0 1
1130 0 1
1185 5 5
1240 4 5
1300 0 1
1312 0 1
1336 1 1
1348 1 1
1349 1 1
1362 1 1
1390 1 1
1391 1 1
1392 1 1
1393 1 1
1395 1 1
1396 1 1
1397 1 1
1398 1 1
1399 1 1
1421 1 1
1422 1 1
1424 1 1
1426 1 1
1430 1 1
1432 1 1
1434 1 1
1439 1 1
1441 1 1
1443 1 1
1475 1 1
1477 1 1
1481 1 1
1485 1 1
1489 1 1


Cond Coverage for Module : otp_ctrl
TotalCoveredPercent
Conditions11510086.96
Logical11510086.96
Non-Logical00
Event00

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00001000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[1].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00111100000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[2].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b10001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[3].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[4].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[5].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[6].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[7].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[8].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11101010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[9].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11110101000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[10].PartEnd))
             -------------------1------------------   ---------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT2,T4,T6

 LINE       288
 EXPRESSION (tlul_part_sel_oh != '0)
            ------------1-----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       297
 EXPRESSION (((|part_tlul_gnt)) | tlul_oob_err_q)
             ---------1--------   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       298
 EXPRESSION (((|part_tlul_rvalid)) | tlul_oob_err_q)
             ----------1----------   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       382
 EXPRESSION ((reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q))) ? 1'b0 : direct_access_regwen_q)
             -----------------------------------1----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       382
 SUB-EXPRESSION (reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q)))
                 ---------------1--------------    -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       386
 EXPRESSION (reg2hw.direct_access_cmd.digest.qe | reg2hw.direct_access_cmd.wr.qe | reg2hw.direct_access_cmd.rd.qe)
             -----------------1----------------   ---------------2--------------   ---------------3--------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010Not Covered
100Not Covered

 LINE       403
 EXPRESSION (lci_prog_idle & dai_prog_idle)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       436
 EXPRESSION (fatal_bus_integ_error_q | ((|intg_error)))
             -----------1-----------   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT17,T18,T19
10Not Covered

 LINE       445
 EXPRESSION (part_error[k] == MacroError)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       449
 EXPRESSION (part_error[k] == MacroEccUncorrError)
            -------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T7,T60

 LINE       469
 EXPRESSION (fatal_macro_error_q || fatal_check_error_q)
             ---------1---------    ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T4,T6
10CoveredT1,T4,T7

 LINE       478
 EXPRESSION (chk_timeout | lfsr_fsm_err | scrmbl_fsm_err | ((|part_fsm_err)))
             -----1-----   ------2-----   -------3------   --------4--------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT1,T4,T7
0010CoveredT17,T18,T19
0100CoveredT17,T18,T19
1000CoveredT2,T4,T10

 LINE       527
 EXPRESSION (direct_access_regwen_q & dai_idle)
             -----------1----------   ----2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.recov_prim_otp_alert.q & reg2hw.alert_test.recov_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_prim_otp_alert.q & reg2hw.alert_test.fatal_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_bus_integ_error.q & reg2hw.alert_test.fatal_bus_integ_error.qe)
                 --------------------1--------------------   ---------------------2--------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_check_error.q & reg2hw.alert_test.fatal_check_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_macro_error.q & reg2hw.alert_test.fatal_macro_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       640
 EXPRESSION (reg2hw.check_trigger.integrity.q & reg2hw.check_trigger.integrity.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       642
 EXPRESSION (reg2hw.check_trigger.consistency.q & reg2hw.check_trigger.consistency.qe)
             -----------------1----------------   -----------------2-----------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       765
 EXPRESSION (otp_prim_ready & otp_rsp_fifo_ready)
             -------1------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       766
 EXPRESSION (otp_arb_valid & otp_rsp_fifo_ready)
             ------1------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       767
 EXPRESSION (otp_prim_ready & otp_prim_valid)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       877
 EXPRESSION (otp_rvalid & otp_fifo_valid)
             -----1----   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       1421
 EXPRESSION (part_digest[Secret1Idx] != '0)
            ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1439
 EXPRESSION ((part_digest[Secret0Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1439
 SUB-EXPRESSION (part_digest[Secret0Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1441
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1441
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1443
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1443
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

Toggle Coverage for Module : otp_ctrl
TotalCoveredPercent
Totals 156 142 91.03
Total Bits 11096 9690 87.33
Total Bits 0->1 5548 4845 87.33
Total Bits 1->0 5548 4845 87.33

Ports 156 142 91.03
Port Bits 11096 9690 87.33
Port Bits 0->1 5548 4845 87.33
Port Bits 1->0 5548 4845 87.33

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
edn_o.edn_req Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
edn_i.edn_fips Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
edn_i.edn_ack Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
core_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.instr_type[3:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 INPUT
core_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_error Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
core_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.data_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_user.cmd_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_user.instr_type[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_data[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_mask[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_address[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_size[1:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_opcode[2:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_o.a_ready Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
prim_tl_o.d_error Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_user.data_intg[6:0] Yes Yes T6,T10,T94 Yes T6,T10,T94 OUTPUT
prim_tl_o.d_user.rsp_intg[5:0] Yes Yes T2,*T3,T4 Yes T2,T3,T4 OUTPUT
prim_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_data[31:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_source[7:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_size[1:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_opcode[0] Yes Yes *T2,*T3,*T4 Yes T2,T3,T4 OUTPUT
prim_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
intr_otp_operation_done_o Yes Yes T2,T3,T7 Yes T2,T3,T7 OUTPUT
intr_otp_error_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T4,T7 Yes T1,T4,T7 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T8,T101,T240 Yes T8,T101,T240 INPUT
alert_rx_i[2].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T8,T101,T240 Yes T8,T101,T240 INPUT
alert_rx_i[3].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[4].ack_p Yes Yes T8,T101,T240 Yes T8,T101,T240 INPUT
alert_rx_i[4].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T4,T7 Yes T1,T4,T7 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T8,T101,T240 Yes T8,T101,T240 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T8,T101,T240 Yes T8,T101,T240 OUTPUT
alert_tx_o[4].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[4].alert_p Yes Yes T8,T101,T240 Yes T8,T101,T240 OUTPUT
obs_ctrl_i.obmen[3:0] No No No INPUT
obs_ctrl_i.obmsl[3:0] No No No INPUT
obs_ctrl_i.obgsl[3:0] No No No INPUT
otp_obs_o[7:0] Unreachable Unreachable Unreachable OUTPUT
otp_ast_pwr_seq_o.pwr_seq[1:0] No No No OUTPUT
otp_ast_pwr_seq_h_i.pwr_seq_h[1:0] Yes Yes T1,T2,T3 Yes T2,T3,T4 INPUT
pwr_otp_i.otp_init Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_o.otp_idle Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_otp_o.otp_done Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
lc_otp_vendor_test_i.ctrl[31:0] No No No INPUT
lc_otp_vendor_test_o.status[31:0] No No No OUTPUT
lc_otp_program_i.count[383:0] Yes Yes T4,T162,T241 Yes T4,T162,T172 INPUT
lc_otp_program_i.state[319:0] Yes Yes T58,T162,T172 Yes T58,T162,T172 INPUT
lc_otp_program_i.req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_otp_program_o.ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
lc_otp_program_o.err Yes Yes T4,T58,T162 Yes T4,T58,T162 OUTPUT
lc_creator_seed_sw_rw_en_i[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_owner_seed_sw_rw_en_i[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_seed_hw_rd_en_i[3:0] Yes Yes T1,T2,T3 Yes T3,T4,T7 INPUT
lc_dft_en_i[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_escalate_en_i[3:0] Yes Yes T6,T10,T242 Yes T6,T10,T242 INPUT
lc_check_byp_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
otp_lc_data_o.rma_token[127:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.rma_token_valid[3:0] Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.test_exit_token[127:0] Yes Yes T103,T62,T68 Yes T203,T243,T103 OUTPUT
otp_lc_data_o.test_unlock_token[127:0] Yes Yes T102,T95,T103 Yes T102,T95,T136 OUTPUT
otp_lc_data_o.test_tokens_valid[3:0] Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.secrets_valid[3:0] Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[0] No No No OUTPUT
otp_lc_data_o.count[14:1] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[16:15] No No No OUTPUT
otp_lc_data_o.count[27:17] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[29:28] No No No OUTPUT
otp_lc_data_o.count[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[32:31] No No No OUTPUT
otp_lc_data_o.count[41:33] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[42] No No No OUTPUT
otp_lc_data_o.count[64:43] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[65] No No No OUTPUT
otp_lc_data_o.count[88:66] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[89] No No No OUTPUT
otp_lc_data_o.count[100:90] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[102:101] No No No OUTPUT
otp_lc_data_o.count[105:103] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[106] No No No OUTPUT
otp_lc_data_o.count[115:107] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[116] No No No OUTPUT
otp_lc_data_o.count[118:117] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[119] No No No OUTPUT
otp_lc_data_o.count[142:120] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[143] No No No OUTPUT
otp_lc_data_o.count[147:144] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[148] No No No OUTPUT
otp_lc_data_o.count[151:149] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[153:152] No No No OUTPUT
otp_lc_data_o.count[155:154] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[156] No No No OUTPUT
otp_lc_data_o.count[159:157] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[160] No No No OUTPUT
otp_lc_data_o.count[174:161] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[175] No No No OUTPUT
otp_lc_data_o.count[186:176] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[187] No No No OUTPUT
otp_lc_data_o.count[193:188] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[194] No No No OUTPUT
otp_lc_data_o.count[202:195] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[203] No No No OUTPUT
otp_lc_data_o.count[207:204] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[208] No No No OUTPUT
otp_lc_data_o.count[215:209] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[216] No No No OUTPUT
otp_lc_data_o.count[217] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[219:218] No No No OUTPUT
otp_lc_data_o.count[226:220] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[227] No No No OUTPUT
otp_lc_data_o.count[228] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[229] No No No OUTPUT
otp_lc_data_o.count[237:230] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[238] No No No OUTPUT
otp_lc_data_o.count[240:239] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[241] No No No OUTPUT
otp_lc_data_o.count[251:242] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[252] No No No OUTPUT
otp_lc_data_o.count[257:253] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[258] No No No OUTPUT
otp_lc_data_o.count[266:259] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[267] No No No OUTPUT
otp_lc_data_o.count[272:268] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[273] No No No OUTPUT
otp_lc_data_o.count[274] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[275] No No No OUTPUT
otp_lc_data_o.count[284:276] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[285] No No No OUTPUT
otp_lc_data_o.count[292:286] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[293] No No No OUTPUT
otp_lc_data_o.count[301:294] Yes Yes T3,T10,*T31 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[302] No No No OUTPUT
otp_lc_data_o.count[312:303] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[313] No No No OUTPUT
otp_lc_data_o.count[324:314] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[325] No No No OUTPUT
otp_lc_data_o.count[330:326] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[331] No No No OUTPUT
otp_lc_data_o.count[335:332] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[337:336] No No No OUTPUT
otp_lc_data_o.count[338] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[339] No No No OUTPUT
otp_lc_data_o.count[365:340] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[367:366] No No No OUTPUT
otp_lc_data_o.count[371:368] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[372] No No No OUTPUT
otp_lc_data_o.count[375:373] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[376] No No No OUTPUT
otp_lc_data_o.count[379:377] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[380] No No No OUTPUT
otp_lc_data_o.count[383:381] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[9:0] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[10] No No No OUTPUT
otp_lc_data_o.state[12:11] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[13] No No No OUTPUT
otp_lc_data_o.state[14] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[15] No No No OUTPUT
otp_lc_data_o.state[31:16] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[32] No No No OUTPUT
otp_lc_data_o.state[36:33] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[37] No No No OUTPUT
otp_lc_data_o.state[42:38] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[43] No No No OUTPUT
otp_lc_data_o.state[46:44] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[47] No No No OUTPUT
otp_lc_data_o.state[52:48] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[53] No No No OUTPUT
otp_lc_data_o.state[61:54] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[62] No No No OUTPUT
otp_lc_data_o.state[65:63] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[66] No No No OUTPUT
otp_lc_data_o.state[68:67] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[69] No No No OUTPUT
otp_lc_data_o.state[73:70] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[74] No No No OUTPUT
otp_lc_data_o.state[83:75] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[84] No No No OUTPUT
otp_lc_data_o.state[98:85] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[99] No No No OUTPUT
otp_lc_data_o.state[110:100] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[111] No No No OUTPUT
otp_lc_data_o.state[113:112] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[114] No No No OUTPUT
otp_lc_data_o.state[126:115] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[127] No No No OUTPUT
otp_lc_data_o.state[134:128] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[135] No No No OUTPUT
otp_lc_data_o.state[136] Yes Yes *T3,*T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[137] No No No OUTPUT
otp_lc_data_o.state[141:138] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[142] No No No OUTPUT
otp_lc_data_o.state[146:143] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[147] No No No OUTPUT
otp_lc_data_o.state[149:148] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[150] No No No OUTPUT
otp_lc_data_o.state[152:151] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[153] No No No OUTPUT
otp_lc_data_o.state[156:154] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[158:157] No No No OUTPUT
otp_lc_data_o.state[163:159] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[166:164] No No No OUTPUT
otp_lc_data_o.state[181:167] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[182] No No No OUTPUT
otp_lc_data_o.state[183] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[184] No No No OUTPUT
otp_lc_data_o.state[191:185] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[192] No No No OUTPUT
otp_lc_data_o.state[199:193] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[200] No No No OUTPUT
otp_lc_data_o.state[206:201] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[207] No No No OUTPUT
otp_lc_data_o.state[210:208] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[211] No No No OUTPUT
otp_lc_data_o.state[215:212] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[216] No No No OUTPUT
otp_lc_data_o.state[220:217] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[221] No No No OUTPUT
otp_lc_data_o.state[226:222] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[227] No No No OUTPUT
otp_lc_data_o.state[230:228] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[231] No No No OUTPUT
otp_lc_data_o.state[234:232] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[235] No No No OUTPUT
otp_lc_data_o.state[236] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[237] No No No OUTPUT
otp_lc_data_o.state[241:238] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[242] No No No OUTPUT
otp_lc_data_o.state[243] Yes Yes *T3,*T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[244] No No No OUTPUT
otp_lc_data_o.state[246:245] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[247] No No No OUTPUT
otp_lc_data_o.state[250:248] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[251] No No No OUTPUT
otp_lc_data_o.state[260:252] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[261] No No No OUTPUT
otp_lc_data_o.state[273:262] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[274] No No No OUTPUT
otp_lc_data_o.state[278:275] Yes Yes *T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[280:279] No No No OUTPUT
otp_lc_data_o.state[301:281] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[302] No No No OUTPUT
otp_lc_data_o.state[311:303] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[312] No No No OUTPUT
otp_lc_data_o.state[319:313] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.error Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
otp_lc_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_keymgr_key_o.owner_seed_valid No No No OUTPUT
otp_keymgr_key_o.owner_seed[255:0] No No No OUTPUT
otp_keymgr_key_o.creator_seed_valid No No No OUTPUT
otp_keymgr_key_o.creator_seed[255:0] No No No OUTPUT
otp_keymgr_key_o.creator_root_key_share1_valid Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_keymgr_key_o.creator_root_key_share1[255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_keymgr_key_o.creator_root_key_share0_valid Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_keymgr_key_o.creator_root_key_share0[255:0] Yes Yes T3,T95,T103 Yes T3,T95,T243 OUTPUT
flash_otp_key_i.addr_req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
flash_otp_key_i.data_req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
flash_otp_key_o.seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
flash_otp_key_o.rand_key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
flash_otp_key_o.key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
flash_otp_key_o.addr_ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
flash_otp_key_o.data_ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_i[0].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_i[1].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_i[2].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_i[3].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_o[0].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[0].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[0].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[0].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[1].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[1].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[1].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[1].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[2].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[2].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[2].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[2].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[3].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[3].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[3].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[3].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otbn_otp_key_i.req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
otbn_otp_key_o.seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
otbn_otp_key_o.nonce[63:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otbn_otp_key_o.key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otbn_otp_key_o.ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otp_broadcast_o.hw_cfg0_data.device_id[255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg0_data.manuf_state[255:0] Yes Yes T11,T93,T102 Yes T9,T11,T93 OUTPUT
otp_broadcast_o.hw_cfg0_data.hw_cfg0_digest[63:0] Yes Yes T10,T92,T93 Yes T10,T92,T93 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_sram_ifetch[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_csrng_sw_app_read[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.dis_rv_dm_late_debug[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.unallocated[39:0] Yes Yes T3,T31,T106 Yes T3,T31,T106 OUTPUT
otp_broadcast_o.hw_cfg1_data.hw_cfg1_digest[63:0] Yes Yes T10,T11,T26 Yes T10,T11,T26 OUTPUT
otp_broadcast_o.valid[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_ext_voltage_h_io No No No INOUT
scan_en_i Yes Yes T2,T3,T4 Yes T1,T2,T3 INPUT
scan_rst_ni Yes Yes T1,T2,T3 Yes T2,T3,T4 INPUT
scanmode_i[3:0] Yes Yes T2,T3,T4 Yes T1,T2,T3 INPUT
cio_test_o[7:0] No No No OUTPUT
cio_test_en_o[7:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : otp_ctrl
Line No.TotalCoveredPercent
Branches 29 27 93.10
TERNARY 382 2 1 50.00
TERNARY 1439 2 2 100.00
TERNARY 1441 2 2 100.00
TERNARY 1443 2 2 100.00
IF 287 3 2 66.67
IF 308 2 2 100.00
IF 334 2 2 100.00
IF 341 2 2 100.00
IF 407 2 2 100.00
IF 448 2 2 100.00
IF 469 2 2 100.00
IF 472 2 2 100.00
IF 499 2 2 100.00
IF 991 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 382 ((reg2hw.direct_access_regwen.qe && (!reg2hw.direct_access_regwen.q))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 1439 ((part_digest[Secret0Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 1441 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 1443 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 287 if (tlul_req) -2-: 288 if ((tlul_part_sel_oh != '0))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Not Covered
0 - Covered T1,T2,T3


LineNo. Expression -1-: 308 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 334 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_creator_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 341 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_owner_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 407 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 448 if (otp_ctrl_part_pkg::PartInfo[k].integrity)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 469 if ((fatal_macro_error_q || fatal_check_error_q))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 472 if (lc_ctrl_pkg::lc_tx_test_true_strict(lc_escalate_en[k]))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 499 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 991 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : otp_ctrl
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 71 71 100.00 69 97.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 71 71 100.00 69 97.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnown_A 480569264 479686794 0 0
CoreTlOutKnown_A 480569264 479686794 0 0
CreatorRootKeyShare0Size_A 1153 1153 0 0
CreatorRootKeyShare1Size_A 1153 1153 0 0
ErrorCodeWidth_A 1153 1153 0 0
FlashAddrKeySeedSize_A 1153 1153 0 0
FlashDataKeySeedSize_A 1153 1153 0 0
FlashOtpKeyRspKnown_A 480569264 479686794 0 0
FpvSecCmCntCnstyCheck_A 480569264 50 0 0
FpvSecCmCntDaiCheck_A 480569264 50 0 0
FpvSecCmCntIntegCheck_A 480569264 50 0 0
FpvSecCmCntKdiEntropyCheck_A 480569264 50 0 0
FpvSecCmCntKdiSeedCheck_A 480569264 50 0 0
FpvSecCmCntLciCheck_A 480569264 50 0 0
FpvSecCmCntScrmblCheck_A 480569264 50 0 0
FpvSecCmCtrlDaiFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlKdiFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlLciFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlLfsrTimerFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlScrambleFsmCheck_A 480569264 50 0 0
FpvSecCmDoubleLfsrCheck_A 480569264 50 0 0
FpvSecCmRegWeOnehotCheck_A 480569264 50 0 0
FpvSecCmTlLcGateFsm_A 480569264 50 0 0
IntrOtpErrorKnown_A 480569264 479686794 0 0
IntrOtpOperationDoneKnown_A 480569264 479686794 0 0
LcOtpProgramRspKnown_A 480569264 479686794 0 0
LcSeedHwRdEnStable0_A 480569264 2319 0 0
LcSeedHwRdEnStable1_A 480569264 2319 0 0
LcSeedHwRdEnStable2_A 480569264 0 0 0
LcSeedHwRdEnStable3_A 480569264 0 0 0
LcStateSize_A 1153 1153 0 0
LcTransitionCntSize_A 1153 1153 0 0
OtpAstPwrSeqKnown_A 480569264 479686794 0 0
OtpBroadcastKnown_A 480569264 479686794 0 0
OtpErrorCode0_A 1153 1153 0 0
OtpErrorCode1_A 1153 1153 0 0
OtpErrorCode2_A 1153 1153 0 0
OtpErrorCode3_A 1153 1153 0 0
OtpErrorCode4_A 1153 1153 0 0
OtpIfWidth_A 1153 1153 0 0
OtpKeymgrKeyKnown_A 480569264 479686794 0 0
OtpLcDataKnown_A 480569264 479686794 0 0
OtpOtgnKeyKnown_A 480569264 479686794 0 0
OtpRespFifoUnderflow_A 480569264 1514613 0 0
OtpSramKeyKnown_A 480569264 479686794 0 0
PartSelMustBeOnehot_A 480569264 479686794 0 0
PrimTlOutKnown_A 480569264 479686794 0 0
PwrOtpInitRspKnown_A 480569264 479686794 0 0
RmaTokenSize_A 1153 1153 0 0
SramDataKeySeedSize_A 1153 1153 0 0
TestExitTokenSize_A 1153 1153 0 0
TestUnlockTokenSize_A 1153 1153 0 0
gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A 480569264 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A 480569264 50 0 0
gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A 480569264 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A 480569264 50 0 0


AlertTxKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

CoreTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

CreatorRootKeyShare0Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

CreatorRootKeyShare1Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

ErrorCodeWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

FlashAddrKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

FlashDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

FlashOtpKeyRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

FpvSecCmCntCnstyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntDaiCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntIntegCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntKdiEntropyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntKdiSeedCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntLciCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntScrmblCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlDaiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlKdiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlLciFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlLfsrTimerFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlScrambleFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmDoubleLfsrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmTlLcGateFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

IntrOtpErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

IntrOtpOperationDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

LcOtpProgramRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

LcSeedHwRdEnStable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 2319 0 0
T3 98167 3 0 0
T4 478748 0 0 0
T6 842410 0 0 0
T7 12333 0 0 0
T8 5262 0 0 0
T9 22582 1 0 0
T10 206650 8 0 0
T11 28596 4 0 0
T26 13309 0 0 0
T31 0 3 0 0
T91 0 2 0 0
T92 0 2 0 0
T93 0 6 0 0
T95 0 3 0 0
T100 63547 0 0 0
T106 0 2 0 0

LcSeedHwRdEnStable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 2319 0 0
T3 98167 3 0 0
T4 478748 0 0 0
T6 842410 0 0 0
T7 12333 0 0 0
T8 5262 0 0 0
T9 22582 1 0 0
T10 206650 8 0 0
T11 28596 4 0 0
T26 13309 0 0 0
T31 0 3 0 0
T91 0 2 0 0
T92 0 2 0 0
T93 0 6 0 0
T95 0 3 0 0
T100 63547 0 0 0
T106 0 2 0 0

LcSeedHwRdEnStable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 0 0 0

LcSeedHwRdEnStable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 0 0 0

LcStateSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

LcTransitionCntSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAstPwrSeqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpBroadcastKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpErrorCode0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode4_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpIfWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpKeymgrKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpLcDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpOtgnKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpRespFifoUnderflow_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 1514613 0 0
T1 11275 195 0 0
T2 433300 34429 0 0
T3 98167 1582 0 0
T4 478748 11511 0 0
T6 842410 7573 0 0
T7 12333 189 0 0
T8 5262 55 0 0
T9 22582 488 0 0
T10 206650 8910 0 0
T11 28596 1249 0 0

OtpSramKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

PartSelMustBeOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

PrimTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

PwrOtpInitRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

RmaTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

SramDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TestExitTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TestUnlockTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

Line Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
TOTAL15414996.75
CONT_ASSIGN25111100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
CONT_ASSIGN25311100.00
ALWAYS2841313100.00
ALWAYS30833100.00
ALWAYS3241010100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN38611100.00
CONT_ASSIGN39011100.00
CONT_ASSIGN39411100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN40311100.00
CONT_ASSIGN40411100.00
ALWAYS40755100.00
ALWAYS4341919100.00
CONT_ASSIGN48811100.00
CONT_ASSIGN49611100.00
ALWAYS49999100.00
ALWAYS5211010100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN59311100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64211100.00
CONT_ASSIGN76511100.00
CONT_ASSIGN76611100.00
CONT_ASSIGN76711100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN79911100.00
ALWAYS87622100.00
ALWAYS93422100.00
ALWAYS96144100.00
CONT_ASSIGN98811100.00
ALWAYS99133100.00
CONT_ASSIGN104311100.00
CONT_ASSIGN104511100.00
CONT_ASSIGN1079100.00
CONT_ASSIGN1130100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN118511100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN1240100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN124011100.00
CONT_ASSIGN1300100.00
CONT_ASSIGN1312100.00
CONT_ASSIGN133611100.00
ALWAYS134822100.00
CONT_ASSIGN136211100.00
ALWAYS139099100.00
CONT_ASSIGN142111100.00
CONT_ASSIGN142211100.00
CONT_ASSIGN142411100.00
CONT_ASSIGN142611100.00
CONT_ASSIGN143011100.00
CONT_ASSIGN143211100.00
CONT_ASSIGN143411100.00
CONT_ASSIGN143911100.00
CONT_ASSIGN144111100.00
CONT_ASSIGN144311100.00
CONT_ASSIGN147511100.00
CONT_ASSIGN147711100.00
CONT_ASSIGN148111100.00
CONT_ASSIGN148511100.00
CONT_ASSIGN148911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
251 1 1
253 10 10
284 1 1
285 1 1
286 1 1
287 1 1
288 1 1
289 1 1
292 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
297 1 1
298 1 1
299 1 1
300 1 1
301 1 1
302 1 1
303 1 1
308 1 1
309 1 1
311 1 1
324 1 1
329 1 1
330 1 1
334 1 1
335 1 1
336 1 1
337 1 1
MISSING_ELSE
MISSING_ELSE
341 1 1
342 1 1
343 1 1
344 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
MISSING_ELSE
382 1 1
386 1 1
390 1 1
394 1 1
395 1 1
403 1 1
404 1 1
407 1 1
408 1 1
410 1 1
412 1 1
413 1 1
434 1 1
435 1 1
436 1 1
438 1 1
440 1 1
443 1 1
445 1 1
448 1 1
449 1 1
MISSING_ELSE
453 1 1
455 1 1
459 1 1
462 1 1
464 1 1
469 1 1
470 1 1
MISSING_ELSE
472 1 1
473 1 1
MISSING_ELSE
478 1 1
488 1 1
496 1 1
499 1 1
500 1 1
501 1 1
502 1 1
503 1 1
505 1 1
506 1 1
507 1 1
508 1 1
521 1 1
523 1 1
525 1 1
527 1 1
529 1 1
538 1 1
540 1 1
541 1 1
542 1 1
543 1 1
585 1 1
593 1 1
640 1 1
642 1 1
765 1 1
766 1 1
767 1 1
797 1 1
799 1 1
876 1 1
877 1 1
934 1 1
935 1 1
961 1 1
962 1 1
963 1 1
964 1 1
988 1 1
991 1 1
992 1 1
994 1 1
1043 1 1
1045 1 1
1079 0 1
1130 0 1
1185 5 5
1240 4 5
1300 0 1
1312 0 1
1336 1 1
1348 1 1
1349 1 1
1362 1 1
1390 1 1
1391 1 1
1392 1 1
1393 1 1
1395 1 1
1396 1 1
1397 1 1
1398 1 1
1399 1 1
1421 1 1
1422 1 1
1424 1 1
1426 1 1
1430 1 1
1432 1 1
1434 1 1
1439 1 1
1441 1 1
1443 1 1
1475 1 1
1477 1 1
1481 1 1
1485 1 1
1489 1 1


Cond Coverage for Instance : tb.dut
TotalCoveredPercent
Conditions10410096.15
Logical10410096.15
Non-Logical00
Event00

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00001000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[1].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b00111100000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[2].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b10001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[3].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[4].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT1,T2,T3

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11001111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[5].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011000000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[6].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[7].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11011111000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[8].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11101010000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[9].PartEnd))
             -------------------1------------------   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T6
11CoveredT2,T4,T6

 LINE       253
 EXPRESSION (({tlul_addr, 2'b0} >= 11'b11110101000) & ({1'b0, {tlul_addr, 2'b0}} < gen_part_sel[10].PartEnd))
             -------------------1------------------   ---------------------------2--------------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded vcs_gen_start:k=10:vcs_gen_end:VC_COV_UNR
11CoveredT2,T4,T6

 LINE       288
 EXPRESSION (tlul_part_sel_oh != '0)
            ------------1-----------
-1-StatusTestsExclude Annotation
0Excluded VC_COV_UNR
1CoveredT1,T2,T3

 LINE       297
 EXPRESSION (((|part_tlul_gnt)) | tlul_oob_err_q)
             ---------1--------   -------2------
-1--2-StatusTestsExclude Annotation
00CoveredT1,T2,T3
01Excluded VC_COV_UNR
10CoveredT1,T2,T3

 LINE       298
 EXPRESSION (((|part_tlul_rvalid)) | tlul_oob_err_q)
             ----------1----------   -------2------
-1--2-StatusTestsExclude Annotation
00CoveredT1,T2,T3
01Excluded VC_COV_UNR
10CoveredT1,T2,T3

 LINE       382
 EXPRESSION ((reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q))) ? 1'b0 : direct_access_regwen_q)
             -----------------------------------1----------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       382
 SUB-EXPRESSION (reg2hw.direct_access_regwen.qe && ((!reg2hw.direct_access_regwen.q)))
                 ---------------1--------------    -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       386
 EXPRESSION (reg2hw.direct_access_cmd.digest.qe | reg2hw.direct_access_cmd.wr.qe | reg2hw.direct_access_cmd.rd.qe)
             -----------------1----------------   ---------------2--------------   ---------------3--------------
-1--2--3-StatusTestsExclude Annotation
000CoveredT1,T2,T3
001Excluded VC_COV_UNR
010Excluded VC_COV_UNR
100Excluded VC_COV_UNR

 LINE       403
 EXPRESSION (lci_prog_idle & dai_prog_idle)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       436
 EXPRESSION (fatal_bus_integ_error_q | ((|intg_error)))
             -----------1-----------   -------2-------
-1--2-StatusTestsExclude Annotation
00CoveredT1,T2,T3
01CoveredT17,T18,T19
10Excluded VC_COV_UNR

 LINE       445
 EXPRESSION (part_error[k] == MacroError)
            --------------1--------------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       449
 EXPRESSION (part_error[k] == MacroEccUncorrError)
            -------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T7,T60

 LINE       469
 EXPRESSION (fatal_macro_error_q || fatal_check_error_q)
             ---------1---------    ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T4,T6
10CoveredT1,T4,T7

 LINE       478
 EXPRESSION (chk_timeout | lfsr_fsm_err | scrmbl_fsm_err | ((|part_fsm_err)))
             -----1-----   ------2-----   -------3------   --------4--------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT1,T4,T7
0010CoveredT17,T18,T19
0100CoveredT17,T18,T19
1000CoveredT2,T4,T10

 LINE       527
 EXPRESSION (direct_access_regwen_q & dai_idle)
             -----------1----------   ----2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.recov_prim_otp_alert.q & reg2hw.alert_test.recov_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_prim_otp_alert.q & reg2hw.alert_test.fatal_prim_otp_alert.qe)
                 --------------------1-------------------   --------------------2--------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_bus_integ_error.q & reg2hw.alert_test.fatal_bus_integ_error.qe)
                 --------------------1--------------------   ---------------------2--------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_check_error.q & reg2hw.alert_test.fatal_check_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       593
 SUB-EXPRESSION (reg2hw.alert_test.fatal_macro_error.q & reg2hw.alert_test.fatal_macro_error.qe)
                 ------------------1------------------   -------------------2------------------
-1--2-StatusTests
01CoveredT8,T101,T240
10CoveredT1,T2,T3
11CoveredT8,T101,T240

 LINE       640
 EXPRESSION (reg2hw.check_trigger.integrity.q & reg2hw.check_trigger.integrity.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       642
 EXPRESSION (reg2hw.check_trigger.consistency.q & reg2hw.check_trigger.consistency.qe)
             -----------------1----------------   -----------------2-----------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       765
 EXPRESSION (otp_prim_ready & otp_rsp_fifo_ready)
             -------1------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       766
 EXPRESSION (otp_arb_valid & otp_rsp_fifo_ready)
             ------1------   ---------2--------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       767
 EXPRESSION (otp_prim_ready & otp_prim_valid)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       877
 EXPRESSION (otp_rvalid & otp_fifo_valid)
             -----1----   -------2------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       1421
 EXPRESSION (part_digest[Secret1Idx] != '0)
            ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1439
 EXPRESSION ((part_digest[Secret0Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1439
 SUB-EXPRESSION (part_digest[Secret0Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1441
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1441
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1443
 EXPRESSION ((part_digest[Secret2Idx] != '0) ? On : Off)
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

 LINE       1443
 SUB-EXPRESSION (part_digest[Secret2Idx] != '0)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T9,T10

Toggle Coverage for Instance : tb.dut
TotalCoveredPercent
Totals 149 142 95.30
Total Bits 9984 9690 97.06
Total Bits 0->1 4992 4845 97.06
Total Bits 1->0 4992 4845 97.06

Ports 149 142 95.30
Port Bits 9984 9690 97.06
Port Bits 0->1 4992 4845 97.06
Port Bits 1->0 4992 4845 97.06

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
edn_o.edn_req Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
edn_i.edn_fips Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
edn_i.edn_ack Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
core_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_user.instr_type[3:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 INPUT
core_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
core_tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
core_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_error Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
core_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
core_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
core_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
prim_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
prim_tl_i.a_user.data_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_user.cmd_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_user.instr_type[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_data[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_mask[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_address[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_size[1:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
prim_tl_i.a_opcode[2:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_i.a_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
prim_tl_o.a_ready Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
prim_tl_o.d_error Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_user.data_intg[6:0] Yes Yes T6,T10,T94 Yes T6,T10,T94 OUTPUT
prim_tl_o.d_user.rsp_intg[5:0] Yes Yes T2,*T3,T4 Yes T2,T3,T4 OUTPUT
prim_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_data[31:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_source[7:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_size[1:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT
prim_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_opcode[0] Yes Yes *T2,*T3,*T4 Yes T2,T3,T4 OUTPUT
prim_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
prim_tl_o.d_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
intr_otp_operation_done_o Yes Yes T2,T3,T7 Yes T2,T3,T7 OUTPUT
intr_otp_error_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T4,T7 Yes T1,T4,T7 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T8,T101,T240 Yes T8,T101,T240 INPUT
alert_rx_i[2].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[2].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T8,T101,T240 Yes T8,T101,T240 INPUT
alert_rx_i[3].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[3].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[4].ack_p Yes Yes T8,T101,T240 Yes T8,T101,T240 INPUT
alert_rx_i[4].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[4].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T4,T7 Yes T1,T4,T7 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T8,T101,T240 Yes T8,T101,T240 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T8,T101,T240 Yes T8,T101,T240 OUTPUT
alert_tx_o[4].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[4].alert_p Yes Yes T8,T101,T240 Yes T8,T101,T240 OUTPUT
obs_ctrl_i.obmen[3:0] No No No INPUT
obs_ctrl_i.obmsl[3:0] No No No INPUT
obs_ctrl_i.obgsl[3:0] No No No INPUT
otp_obs_o[7:0] Unreachable Unreachable Unreachable OUTPUT
otp_ast_pwr_seq_o.pwr_seq[1:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_ast_pwr_seq_h_i.pwr_seq_h[1:0] Yes Yes T1,T2,T3 Yes T2,T3,T4 INPUT
pwr_otp_i.otp_init Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_o.otp_idle Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_otp_o.otp_done Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
lc_otp_vendor_test_i.ctrl[31:0] No No No INPUT
lc_otp_vendor_test_o.status[31:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
lc_otp_program_i.count[383:0] Yes Yes T4,T162,T241 Yes T4,T162,T172 INPUT
lc_otp_program_i.state[319:0] Yes Yes T58,T162,T172 Yes T58,T162,T172 INPUT
lc_otp_program_i.req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_otp_program_o.ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
lc_otp_program_o.err Yes Yes T4,T58,T162 Yes T4,T58,T162 OUTPUT
lc_creator_seed_sw_rw_en_i[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_owner_seed_sw_rw_en_i[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_seed_hw_rd_en_i[3:0] Yes Yes T1,T2,T3 Yes T3,T4,T7 INPUT
lc_dft_en_i[3:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
lc_escalate_en_i[3:0] Yes Yes T6,T10,T242 Yes T6,T10,T242 INPUT
lc_check_byp_en_i[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
otp_lc_data_o.rma_token[127:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.rma_token_valid[3:0] Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.test_exit_token[127:0] Yes Yes T103,T62,T68 Yes T203,T243,T103 OUTPUT
otp_lc_data_o.test_unlock_token[127:0] Yes Yes T102,T95,T103 Yes T102,T95,T136 OUTPUT
otp_lc_data_o.test_tokens_valid[3:0] Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.secrets_valid[3:0] Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[0] No No No OUTPUT
otp_lc_data_o.count[14:1] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[16:15] No No No OUTPUT
otp_lc_data_o.count[27:17] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[29:28] No No No OUTPUT
otp_lc_data_o.count[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[32:31] No No No OUTPUT
otp_lc_data_o.count[41:33] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[42] No No No OUTPUT
otp_lc_data_o.count[64:43] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[65] No No No OUTPUT
otp_lc_data_o.count[88:66] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[89] No No No OUTPUT
otp_lc_data_o.count[100:90] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[102:101] No No No OUTPUT
otp_lc_data_o.count[105:103] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[106] No No No OUTPUT
otp_lc_data_o.count[115:107] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[116] No No No OUTPUT
otp_lc_data_o.count[118:117] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[119] No No No OUTPUT
otp_lc_data_o.count[142:120] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[143] No No No OUTPUT
otp_lc_data_o.count[147:144] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[148] No No No OUTPUT
otp_lc_data_o.count[151:149] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[153:152] No No No OUTPUT
otp_lc_data_o.count[155:154] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[156] No No No OUTPUT
otp_lc_data_o.count[159:157] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[160] No No No OUTPUT
otp_lc_data_o.count[174:161] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[175] No No No OUTPUT
otp_lc_data_o.count[186:176] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[187] No No No OUTPUT
otp_lc_data_o.count[193:188] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[194] No No No OUTPUT
otp_lc_data_o.count[202:195] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[203] No No No OUTPUT
otp_lc_data_o.count[207:204] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[208] No No No OUTPUT
otp_lc_data_o.count[215:209] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[216] No No No OUTPUT
otp_lc_data_o.count[217] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[219:218] No No No OUTPUT
otp_lc_data_o.count[226:220] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[227] No No No OUTPUT
otp_lc_data_o.count[228] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[229] No No No OUTPUT
otp_lc_data_o.count[237:230] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[238] No No No OUTPUT
otp_lc_data_o.count[240:239] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[241] No No No OUTPUT
otp_lc_data_o.count[251:242] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[252] No No No OUTPUT
otp_lc_data_o.count[257:253] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[258] No No No OUTPUT
otp_lc_data_o.count[266:259] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[267] No No No OUTPUT
otp_lc_data_o.count[272:268] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[273] No No No OUTPUT
otp_lc_data_o.count[274] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[275] No No No OUTPUT
otp_lc_data_o.count[284:276] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[285] No No No OUTPUT
otp_lc_data_o.count[292:286] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[293] No No No OUTPUT
otp_lc_data_o.count[301:294] Yes Yes T3,T10,*T31 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[302] No No No OUTPUT
otp_lc_data_o.count[312:303] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[313] No No No OUTPUT
otp_lc_data_o.count[324:314] Yes Yes T3,T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[325] No No No OUTPUT
otp_lc_data_o.count[330:326] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[331] No No No OUTPUT
otp_lc_data_o.count[335:332] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[337:336] No No No OUTPUT
otp_lc_data_o.count[338] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[339] No No No OUTPUT
otp_lc_data_o.count[365:340] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.count[367:366] No No No OUTPUT
otp_lc_data_o.count[371:368] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[372] No No No OUTPUT
otp_lc_data_o.count[375:373] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[376] No No No OUTPUT
otp_lc_data_o.count[379:377] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.count[380] No No No OUTPUT
otp_lc_data_o.count[383:381] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[9:0] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[10] No No No OUTPUT
otp_lc_data_o.state[12:11] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[13] No No No OUTPUT
otp_lc_data_o.state[14] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[15] No No No OUTPUT
otp_lc_data_o.state[31:16] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[32] No No No OUTPUT
otp_lc_data_o.state[36:33] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[37] No No No OUTPUT
otp_lc_data_o.state[42:38] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[43] No No No OUTPUT
otp_lc_data_o.state[46:44] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[47] No No No OUTPUT
otp_lc_data_o.state[52:48] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[53] No No No OUTPUT
otp_lc_data_o.state[61:54] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[62] No No No OUTPUT
otp_lc_data_o.state[65:63] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[66] No No No OUTPUT
otp_lc_data_o.state[68:67] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[69] No No No OUTPUT
otp_lc_data_o.state[73:70] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[74] No No No OUTPUT
otp_lc_data_o.state[83:75] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[84] No No No OUTPUT
otp_lc_data_o.state[98:85] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[99] No No No OUTPUT
otp_lc_data_o.state[110:100] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[111] No No No OUTPUT
otp_lc_data_o.state[113:112] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[114] No No No OUTPUT
otp_lc_data_o.state[126:115] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[127] No No No OUTPUT
otp_lc_data_o.state[134:128] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[135] No No No OUTPUT
otp_lc_data_o.state[136] Yes Yes *T3,*T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[137] No No No OUTPUT
otp_lc_data_o.state[141:138] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[142] No No No OUTPUT
otp_lc_data_o.state[146:143] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[147] No No No OUTPUT
otp_lc_data_o.state[149:148] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[150] No No No OUTPUT
otp_lc_data_o.state[152:151] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[153] No No No OUTPUT
otp_lc_data_o.state[156:154] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[158:157] No No No OUTPUT
otp_lc_data_o.state[163:159] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[166:164] No No No OUTPUT
otp_lc_data_o.state[181:167] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[182] No No No OUTPUT
otp_lc_data_o.state[183] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[184] No No No OUTPUT
otp_lc_data_o.state[191:185] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[192] No No No OUTPUT
otp_lc_data_o.state[199:193] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[200] No No No OUTPUT
otp_lc_data_o.state[206:201] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[207] No No No OUTPUT
otp_lc_data_o.state[210:208] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[211] No No No OUTPUT
otp_lc_data_o.state[215:212] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[216] No No No OUTPUT
otp_lc_data_o.state[220:217] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[221] No No No OUTPUT
otp_lc_data_o.state[226:222] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[227] No No No OUTPUT
otp_lc_data_o.state[230:228] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[231] No No No OUTPUT
otp_lc_data_o.state[234:232] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[235] No No No OUTPUT
otp_lc_data_o.state[236] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[237] No No No OUTPUT
otp_lc_data_o.state[241:238] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[242] No No No OUTPUT
otp_lc_data_o.state[243] Yes Yes *T3,*T10,*T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[244] No No No OUTPUT
otp_lc_data_o.state[246:245] Yes Yes T3,T10,T11 Yes T3,T10,T11 OUTPUT
otp_lc_data_o.state[247] No No No OUTPUT
otp_lc_data_o.state[250:248] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[251] No No No OUTPUT
otp_lc_data_o.state[260:252] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[261] No No No OUTPUT
otp_lc_data_o.state[273:262] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[274] No No No OUTPUT
otp_lc_data_o.state[278:275] Yes Yes *T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.state[280:279] No No No OUTPUT
otp_lc_data_o.state[301:281] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[302] No No No OUTPUT
otp_lc_data_o.state[311:303] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
otp_lc_data_o.state[312] No No No OUTPUT
otp_lc_data_o.state[319:313] Yes Yes T3,T10,T11 Yes T3,T9,T10 OUTPUT
otp_lc_data_o.error Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
otp_lc_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_keymgr_key_o.owner_seed_valid Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.owner_seed[255:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.creator_seed_valid Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.creator_seed[255:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
otp_keymgr_key_o.creator_root_key_share1_valid Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_keymgr_key_o.creator_root_key_share1[255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_keymgr_key_o.creator_root_key_share0_valid Yes Yes T3,T9,T10 Yes T3,T9,T10 OUTPUT
otp_keymgr_key_o.creator_root_key_share0[255:0] Yes Yes T3,T95,T103 Yes T3,T95,T243 OUTPUT
flash_otp_key_i.addr_req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
flash_otp_key_i.data_req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
flash_otp_key_o.seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
flash_otp_key_o.rand_key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
flash_otp_key_o.key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
flash_otp_key_o.addr_ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
flash_otp_key_o.data_ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_i[0].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_i[1].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_i[2].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_i[3].req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
sram_otp_key_o[0].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[0].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[0].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[0].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[1].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[1].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[1].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[1].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[2].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[2].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[2].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[2].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[3].seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
sram_otp_key_o[3].nonce[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[3].key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
sram_otp_key_o[3].ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otbn_otp_key_i.req Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
otbn_otp_key_o.seed_valid Yes Yes T3,T10,T31 Yes T3,T10,T31 OUTPUT
otbn_otp_key_o.nonce[63:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otbn_otp_key_o.key[127:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otbn_otp_key_o.ack Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
otp_broadcast_o.hw_cfg0_data.device_id[255:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg0_data.manuf_state[255:0] Yes Yes T11,T93,T102 Yes T9,T11,T93 OUTPUT
otp_broadcast_o.hw_cfg0_data.hw_cfg0_digest[63:0] Yes Yes T10,T92,T93 Yes T10,T92,T93 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_sram_ifetch[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.en_csrng_sw_app_read[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.dis_rv_dm_late_debug[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_broadcast_o.hw_cfg1_data.unallocated[39:0] Yes Yes T3,T31,T106 Yes T3,T31,T106 OUTPUT
otp_broadcast_o.hw_cfg1_data.hw_cfg1_digest[63:0] Yes Yes T10,T11,T26 Yes T10,T11,T26 OUTPUT
otp_broadcast_o.valid[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
otp_ext_voltage_h_io No No No INOUT
scan_en_i Yes Yes T2,T3,T4 Yes T1,T2,T3 INPUT
scan_rst_ni Yes Yes T1,T2,T3 Yes T2,T3,T4 INPUT
scanmode_i[3:0] Yes Yes T2,T3,T4 Yes T1,T2,T3 INPUT
cio_test_o[7:0] Excluded Excluded Excluded OUTPUT 0->1:VC_COV_UNR / 1->0:VC_COV_UNR
cio_test_en_o[7:0] Yes Yes T2,T4,T6 Yes T2,T4,T6 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
Branches 28 27 96.43
TERNARY 382 2 1 50.00
TERNARY 1439 2 2 100.00
TERNARY 1441 2 2 100.00
TERNARY 1443 2 2 100.00
IF 287 2 2 100.00
IF 308 2 2 100.00
IF 334 2 2 100.00
IF 341 2 2 100.00
IF 407 2 2 100.00
IF 448 2 2 100.00
IF 469 2 2 100.00
IF 472 2 2 100.00
IF 499 2 2 100.00
IF 991 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 382 ((reg2hw.direct_access_regwen.qe && (!reg2hw.direct_access_regwen.q))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 1439 ((part_digest[Secret0Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 1441 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 1443 ((part_digest[Secret2Idx] != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 287 if (tlul_req) -2-: 288 if ((tlul_part_sel_oh != '0))

Branches:
-1--2-StatusTestsExclude Annotation
1 1 Covered T1,T2,T3
1 0 Excluded VC_COV_UNR
0 - Covered T1,T2,T3


LineNo. Expression -1-: 308 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 334 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_creator_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 341 if (lc_ctrl_pkg::lc_tx_test_false_loose(lc_owner_seed_sw_rw_en))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 407 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 448 if (otp_ctrl_part_pkg::PartInfo[k].integrity)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 469 if ((fatal_macro_error_q || fatal_check_error_q))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 472 if (lc_ctrl_pkg::lc_tx_test_true_strict(lc_escalate_en[k]))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 499 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 991 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 71 71 100.00 69 97.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 71 71 100.00 69 97.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnown_A 480569264 479686794 0 0
CoreTlOutKnown_A 480569264 479686794 0 0
CreatorRootKeyShare0Size_A 1153 1153 0 0
CreatorRootKeyShare1Size_A 1153 1153 0 0
ErrorCodeWidth_A 1153 1153 0 0
FlashAddrKeySeedSize_A 1153 1153 0 0
FlashDataKeySeedSize_A 1153 1153 0 0
FlashOtpKeyRspKnown_A 480569264 479686794 0 0
FpvSecCmCntCnstyCheck_A 480569264 50 0 0
FpvSecCmCntDaiCheck_A 480569264 50 0 0
FpvSecCmCntIntegCheck_A 480569264 50 0 0
FpvSecCmCntKdiEntropyCheck_A 480569264 50 0 0
FpvSecCmCntKdiSeedCheck_A 480569264 50 0 0
FpvSecCmCntLciCheck_A 480569264 50 0 0
FpvSecCmCntScrmblCheck_A 480569264 50 0 0
FpvSecCmCtrlDaiFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlKdiFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlLciFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlLfsrTimerFsmCheck_A 480569264 50 0 0
FpvSecCmCtrlScrambleFsmCheck_A 480569264 50 0 0
FpvSecCmDoubleLfsrCheck_A 480569264 50 0 0
FpvSecCmRegWeOnehotCheck_A 480569264 50 0 0
FpvSecCmTlLcGateFsm_A 480569264 50 0 0
IntrOtpErrorKnown_A 480569264 479686794 0 0
IntrOtpOperationDoneKnown_A 480569264 479686794 0 0
LcOtpProgramRspKnown_A 480569264 479686794 0 0
LcSeedHwRdEnStable0_A 480569264 2319 0 0
LcSeedHwRdEnStable1_A 480569264 2319 0 0
LcSeedHwRdEnStable2_A 480569264 0 0 0
LcSeedHwRdEnStable3_A 480569264 0 0 0
LcStateSize_A 1153 1153 0 0
LcTransitionCntSize_A 1153 1153 0 0
OtpAstPwrSeqKnown_A 480569264 479686794 0 0
OtpBroadcastKnown_A 480569264 479686794 0 0
OtpErrorCode0_A 1153 1153 0 0
OtpErrorCode1_A 1153 1153 0 0
OtpErrorCode2_A 1153 1153 0 0
OtpErrorCode3_A 1153 1153 0 0
OtpErrorCode4_A 1153 1153 0 0
OtpIfWidth_A 1153 1153 0 0
OtpKeymgrKeyKnown_A 480569264 479686794 0 0
OtpLcDataKnown_A 480569264 479686794 0 0
OtpOtgnKeyKnown_A 480569264 479686794 0 0
OtpRespFifoUnderflow_A 480569264 1514613 0 0
OtpSramKeyKnown_A 480569264 479686794 0 0
PartSelMustBeOnehot_A 480569264 479686794 0 0
PrimTlOutKnown_A 480569264 479686794 0 0
PwrOtpInitRspKnown_A 480569264 479686794 0 0
RmaTokenSize_A 1153 1153 0 0
SramDataKeySeedSize_A 1153 1153 0 0
TestExitTokenSize_A 1153 1153 0 0
TestUnlockTokenSize_A 1153 1153 0 0
gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A 480569264 50 0 0
gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A 480569264 50 0 0
gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A 480569264 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A 480569264 50 0 0
gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A 480569264 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A 480569264 50 0 0
gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A 480569264 50 0 0


AlertTxKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

CoreTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

CreatorRootKeyShare0Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

CreatorRootKeyShare1Size_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

ErrorCodeWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

FlashAddrKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

FlashDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

FlashOtpKeyRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

FpvSecCmCntCnstyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntDaiCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntIntegCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntKdiEntropyCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntKdiSeedCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntLciCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCntScrmblCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlDaiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlKdiFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlLciFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlLfsrTimerFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmCtrlScrambleFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmDoubleLfsrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

FpvSecCmTlLcGateFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

IntrOtpErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

IntrOtpOperationDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

LcOtpProgramRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

LcSeedHwRdEnStable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 2319 0 0
T3 98167 3 0 0
T4 478748 0 0 0
T6 842410 0 0 0
T7 12333 0 0 0
T8 5262 0 0 0
T9 22582 1 0 0
T10 206650 8 0 0
T11 28596 4 0 0
T26 13309 0 0 0
T31 0 3 0 0
T91 0 2 0 0
T92 0 2 0 0
T93 0 6 0 0
T95 0 3 0 0
T100 63547 0 0 0
T106 0 2 0 0

LcSeedHwRdEnStable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 2319 0 0
T3 98167 3 0 0
T4 478748 0 0 0
T6 842410 0 0 0
T7 12333 0 0 0
T8 5262 0 0 0
T9 22582 1 0 0
T10 206650 8 0 0
T11 28596 4 0 0
T26 13309 0 0 0
T31 0 3 0 0
T91 0 2 0 0
T92 0 2 0 0
T93 0 6 0 0
T95 0 3 0 0
T100 63547 0 0 0
T106 0 2 0 0

LcSeedHwRdEnStable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 0 0 0

LcSeedHwRdEnStable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 0 0 0

LcStateSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

LcTransitionCntSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAstPwrSeqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpBroadcastKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpErrorCode0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpErrorCode4_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpIfWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpKeymgrKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpLcDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpOtgnKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

OtpRespFifoUnderflow_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 1514613 0 0
T1 11275 195 0 0
T2 433300 34429 0 0
T3 98167 1582 0 0
T4 478748 11511 0 0
T6 842410 7573 0 0
T7 12333 189 0 0
T8 5262 55 0 0
T9 22582 488 0 0
T10 206650 8910 0 0
T11 28596 1249 0 0

OtpSramKeyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

PartSelMustBeOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

PrimTlOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

PwrOtpInitRspKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 479686794 0 0
T1 11275 10981 0 0
T2 433300 433283 0 0
T3 98167 96843 0 0
T4 478748 478713 0 0
T6 842410 842382 0 0
T7 12333 12056 0 0
T8 5262 5208 0 0
T9 22582 22152 0 0
T10 206650 206080 0 0
T11 28596 27992 0 0

RmaTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

SramDataKeySeedSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TestExitTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TestUnlockTokenSize_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

gen_partitions[0].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCntPartLcCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[10].gen_lifecycle.FpvSecCmCtrlPartLcFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[1].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[2].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[3].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[4].gen_unbuffered.FpvSecCmCtrlPartUnbufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[5].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[6].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[7].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[8].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCntPartBufCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_partitions[9].gen_buffered.FpvSecCmCtrlPartBufFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

gen_reg_we_assert_generic.FpvSecCmPrimRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480569264 50 0 0
T17 955541 10 0 0
T18 0 10 0 0
T19 0 10 0 0
T181 0 10 0 0
T218 83443 0 0 0
T221 11426 0 0 0
T231 15517 0 0 0
T244 0 10 0 0
T245 149149 0 0 0
T246 29800 0 0 0
T247 23810 0 0 0
T248 60341 0 0 0
T249 16129 0 0 0
T250 5440 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%