Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1125.58
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001391110073
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0039519000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0071737000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0071737000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0071737000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0071737000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001384881048790146
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0013911110637800
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00139111403200
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0013911140400
prim_alert_tb.i_alert_receiver.InitReq_A 0013911173700
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0013911110637800
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001391111500600
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001391111464200
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0013828710555400
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0013911145038
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0013911110637800
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0013911110637800
prim_alert_tb.i_alert_receiver.PingPending_A 001391117640111
prim_alert_tb.i_alert_receiver.PingResponse0_A 0013911171500
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0067374101600
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 006737427900
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0067374216081
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0071737313600
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007173733600
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00717373800
prim_alert_tb.i_alert_sender.AlertHs_A 00139111103000
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0013911110637800
prim_alert_tb.i_alert_sender.AlertState0_A 0013911110637800
prim_alert_tb.i_alert_sender.AlertTest1_A 001391117300
prim_alert_tb.i_alert_sender.AlertTestHs_A 001391117300
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00673744640503
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00673741000136
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00673741000136
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 006737433001
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00673741000171
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00673741000171
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 0099592550500
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 00995923971100
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 0099592391000
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0039519634900
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00717374997300
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007173734700

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001384881048790146
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0013911145038
prim_alert_tb.i_alert_receiver.PingPending_A 001391117640111
prim_alert_tb.i_alert_receiver.PingRequest0_A 001391110073
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0067374216081
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00673744640503
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00673741000136
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00673741000136
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 006737433001
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00673741000171
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00673741000171

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%