Assertions
dashboard | hierarchy | modlist | groups | tests | asserts

Assertions by Category
ASSERTPROPERTIESSEQUENCES
Total4300
Category 04300


Assertions by Severity
ASSERTPROPERTIESSEQUENCES
Total4300
Severity 04300


Summary for Assertions
NUMBERPERCENT
Total Number43100.00
Uncovered613.95
Success3786.05
Failure00.00
Incomplete1125.58
Without Attempts00.00


Detail Report for Assertions

Assertions Uncovered:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.PingRequest0_A 001534490079
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState2_A 0041133000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitFsm_A 0074157000
prim_alert_tb.i_alert_sender.gen_sync_assert.InBandInitPing_A 0074157000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntAck_A 0074157000
prim_alert_tb.i_alert_sender.gen_sync_assert.SigIntPing_A 0074157000

Assertions Success:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001527471153100158
prim_alert_tb.i_alert_receiver.AlertKnownO_A 0015344911698200
prim_alert_tb.i_alert_receiver.InBandInitRequest_A 00153449419400
prim_alert_tb.i_alert_receiver.InBandInitSequence_A 0015344943100
prim_alert_tb.i_alert_receiver.InitReq_A 0015344979000
prim_alert_tb.i_alert_receiver.IntegFailKnownO_A 0015344911698200
prim_alert_tb.i_alert_receiver.NoSpuriousAlertsDuringInit_A 001534491644400
prim_alert_tb.i_alert_receiver.NoSpuriousPingOksDuringInit_A 001534491614800
prim_alert_tb.i_alert_receiver.PingDiffOk_A 0015256711610000
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015344949040
prim_alert_tb.i_alert_receiver.PingOkKnownO_A 0015344911698200
prim_alert_tb.i_alert_receiver.PingPKnownO_A 0015344911698200
prim_alert_tb.i_alert_receiver.PingPending_A 001534498260119
prim_alert_tb.i_alert_receiver.PingResponse0_A 0015344976700
prim_alert_tb.i_alert_receiver.gen_async_assert.Alert_A 0079292130100
prim_alert_tb.i_alert_receiver.gen_async_assert.PingResponse1_A 007929231200
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0079292245084
prim_alert_tb.i_alert_receiver.gen_sync_assert.Alert_A 0074157317500
prim_alert_tb.i_alert_receiver.gen_sync_assert.PingResponse1_A 007415735800
prim_alert_tb.i_alert_receiver.gen_sync_assert.SigInt_A 00741574000
prim_alert_tb.i_alert_sender.AlertHs_A 00153449110300
prim_alert_tb.i_alert_sender.AlertPKnownO_A 0015344911698200
prim_alert_tb.i_alert_sender.AlertState0_A 0015344911698200
prim_alert_tb.i_alert_sender.AlertTest1_A 001534497900
prim_alert_tb.i_alert_sender.AlertTestHs_A 001534497900
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00792925430709
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00792921000144
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00792921000144
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 007929236103
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00792921000183
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00792921000183
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState1_A 00112316642600
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState2_A 001123164543100
prim_alert_tb.i_alert_sender.gen_fatal_assert.AlertState3_A 00112316422700
prim_alert_tb.i_alert_sender.gen_recov_assert.AlertState1_A 0041133656400
prim_alert_tb.i_alert_sender.gen_sync_assert.DiffEncoding_A 00741575168900
prim_alert_tb.i_alert_sender.gen_sync_assert.PingHs_A 007415737000

Assertions Incomplete:
ASSERTIONSCATEGORYSEVERITYATTEMPTSREAL SUCCESSESFAILURESINCOMPLETESRC
prim_alert_tb.i_alert_receiver.AckDiffOk_A 001527471153100158
prim_alert_tb.i_alert_receiver.PingOkBypassDuringInit_A 0015344949040
prim_alert_tb.i_alert_receiver.PingPending_A 001534498260119
prim_alert_tb.i_alert_receiver.PingRequest0_A 001534490079
prim_alert_tb.i_alert_receiver.gen_async_assert.SigInt_A 0079292245084
prim_alert_tb.i_alert_sender.gen_async_assert.DiffEncoding_A 00792925430709
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitFsm_A 00792921000144
prim_alert_tb.i_alert_sender.gen_async_assert.InBandInitPing_A 00792921000144
prim_alert_tb.i_alert_sender.gen_async_assert.PingHs_A 007929236103
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntAck_A 00792921000183
prim_alert_tb.i_alert_sender.gen_async_assert.SigIntPing_A 00792921000183

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%