Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : pwrmgr_reg_top
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_systems_pwrmgr_reg_0.1/rtl/autogen/pwrmgr_reg_top.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_reg 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_reg

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.24 96.01 97.64 100.00 92.53 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.51 100.00 83.33 99.21 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_alert_test 100.00 100.00
u_cfg_cdc_sync 100.00 100.00 100.00 100.00
u_cfg_cdc_sync0_qe 100.00 100.00 100.00
u_chk 100.00 100.00 100.00 100.00
u_control_core_clk_en 100.00 100.00 100.00 100.00
u_control_io_clk_en 100.00 100.00 100.00 100.00
u_control_low_power_hint 100.00 100.00 100.00 100.00
u_control_main_pd_n 100.00 100.00 100.00 100.00
u_control_usb_clk_en_active 100.00 100.00 100.00 100.00
u_control_usb_clk_en_lp 100.00 100.00 100.00 100.00
u_ctrl_cfg_regwen 100.00 100.00
u_escalate_reset_status 62.59 77.78 50.00 60.00
u_fault_status_esc_timeout 96.30 88.89 100.00 100.00
u_fault_status_main_pd_glitch 100.00 100.00 100.00 100.00
u_fault_status_reg_intg_err 96.30 88.89 100.00 100.00
u_intr_enable 100.00 100.00 100.00 100.00
u_intr_state 100.00 100.00 100.00 100.00
u_intr_test 100.00 100.00
u_prim_reg_we_check 100.00 100.00 100.00
u_reg_if 98.67 97.14 97.53 100.00 100.00
u_reset_en_en_0 100.00 100.00 100.00 100.00
u_reset_en_en_1 100.00 100.00 100.00 100.00
u_reset_en_regwen 100.00 100.00 100.00 100.00
u_reset_status_val_0 62.59 77.78 50.00 60.00
u_reset_status_val_1 62.59 77.78 50.00 60.00
u_rsp_intg_gen 100.00 100.00 100.00
u_wake_info_abort 100.00 100.00
u_wake_info_capture_dis 100.00 100.00 100.00 100.00
u_wake_info_fall_through 100.00 100.00
u_wake_info_reasons 100.00 100.00
u_wake_status_val_0 62.59 77.78 50.00 60.00
u_wake_status_val_1 62.59 77.78 50.00 60.00
u_wake_status_val_2 62.59 77.78 50.00 60.00
u_wake_status_val_3 62.59 77.78 50.00 60.00
u_wake_status_val_4 62.59 77.78 50.00 60.00
u_wake_status_val_5 62.59 77.78 50.00 60.00
u_wakeup_en_en_0 100.00 100.00 100.00 100.00
u_wakeup_en_en_1 100.00 100.00 100.00 100.00
u_wakeup_en_en_2 100.00 100.00 100.00 100.00
u_wakeup_en_en_3 100.00 100.00 100.00 100.00
u_wakeup_en_en_4 100.00 100.00 100.00 100.00
u_wakeup_en_en_5 100.00 100.00 100.00 100.00
u_wakeup_en_regwen 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : pwrmgr_reg_top
Line No.TotalCoveredPercent
TOTAL140140100.00
ALWAYS7344100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN9411100.00
CONT_ASSIGN9511100.00
CONT_ASSIGN12311100.00
CONT_ASSIGN12411100.00
CONT_ASSIGN26711100.00
CONT_ASSIGN28111100.00
CONT_ASSIGN28711100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN52411100.00
CONT_ASSIGN55911100.00
CONT_ASSIGN92011100.00
CONT_ASSIGN109211100.00
CONT_ASSIGN110711100.00
CONT_ASSIGN112311100.00
CONT_ASSIGN113911100.00
ALWAYS12311818100.00
CONT_ASSIGN125111100.00
ALWAYS125511100.00
CONT_ASSIGN127611100.00
CONT_ASSIGN127811100.00
CONT_ASSIGN127911100.00
CONT_ASSIGN128111100.00
CONT_ASSIGN128211100.00
CONT_ASSIGN128411100.00
CONT_ASSIGN128511100.00
CONT_ASSIGN128711100.00
CONT_ASSIGN128811100.00
CONT_ASSIGN128911100.00
CONT_ASSIGN129111100.00
CONT_ASSIGN129311100.00
CONT_ASSIGN129511100.00
CONT_ASSIGN129711100.00
CONT_ASSIGN129911100.00
CONT_ASSIGN130111100.00
CONT_ASSIGN130211100.00
CONT_ASSIGN130411100.00
CONT_ASSIGN130511100.00
CONT_ASSIGN130711100.00
CONT_ASSIGN130811100.00
CONT_ASSIGN131011100.00
CONT_ASSIGN131211100.00
CONT_ASSIGN131411100.00
CONT_ASSIGN131611100.00
CONT_ASSIGN131811100.00
CONT_ASSIGN132011100.00
CONT_ASSIGN132111100.00
CONT_ASSIGN132311100.00
CONT_ASSIGN132411100.00
CONT_ASSIGN132611100.00
CONT_ASSIGN132811100.00
CONT_ASSIGN132911100.00
CONT_ASSIGN133111100.00
CONT_ASSIGN133211100.00
CONT_ASSIGN133311100.00
CONT_ASSIGN133511100.00
CONT_ASSIGN133711100.00
CONT_ASSIGN133911100.00
ALWAYS13431818100.00
ALWAYS13654040100.00
CONT_ASSIGN146700
CONT_ASSIGN147511100.00
CONT_ASSIGN147611100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_systems_pwrmgr_reg_0.1/rtl/autogen/pwrmgr_reg_top.sv' or '../src/lowrisc_systems_pwrmgr_reg_0.1/rtl/autogen/pwrmgr_reg_top.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
73 1 1
74 1 1
75 1 1
76 1 1
MISSING_ELSE
82 1 1
94 1 1
95 1 1
123 1 1
124 1 1
267 1 1
281 1 1
287 1 1
301 1 1
323 1 1
524 1 1
559 1 1
920 1 1
1092 1 1
1107 1 1
1123 1 1
1139 1 1
1231 1 1
1232 1 1
1233 1 1
1234 1 1
1235 1 1
1236 1 1
1237 1 1
1238 1 1
1239 1 1
1240 1 1
1241 1 1
1242 1 1
1243 1 1
1244 1 1
1245 1 1
1246 1 1
1247 1 1
1248 1 1
1251 1 1
1255 1 1
1276 1 1
1278 1 1
1279 1 1
1281 1 1
1282 1 1
1284 1 1
1285 1 1
1287 1 1
1288 1 1
1289 1 1
1291 1 1
1293 1 1
1295 1 1
1297 1 1
1299 1 1
1301 1 1
1302 1 1
1304 1 1
1305 1 1
1307 1 1
1308 1 1
1310 1 1
1312 1 1
1314 1 1
1316 1 1
1318 1 1
1320 1 1
1321 1 1
1323 1 1
1324 1 1
1326 1 1
1328 1 1
1329 1 1
1331 1 1
1332 1 1
1333 1 1
1335 1 1
1337 1 1
1339 1 1
1343 1 1
1344 1 1
1345 1 1
1346 1 1
1347 1 1
1348 1 1
1349 1 1
1350 1 1
1351 1 1
1352 1 1
1353 1 1
1354 1 1
1355 1 1
1356 1 1
1357 1 1
1358 1 1
1359 1 1
1360 1 1
1365 1 1
1366 1 1
1368 1 1
1372 1 1
1376 1 1
1380 1 1
1384 1 1
1388 1 1
1389 1 1
1390 1 1
1391 1 1
1392 1 1
1393 1 1
1397 1 1
1401 1 1
1405 1 1
1406 1 1
1407 1 1
1408 1 1
1409 1 1
1410 1 1
1414 1 1
1415 1 1
1416 1 1
1417 1 1
1418 1 1
1419 1 1
1423 1 1
1427 1 1
1428 1 1
1432 1 1
1433 1 1
1437 1 1
1441 1 1
1445 1 1
1446 1 1
1447 1 1
1451 1 1
1452 1 1
1453 1 1
1467 unreachable
1475 1 1
1476 1 1


Cond Coverage for Module : pwrmgr_reg_top
TotalCoveredPercent
Conditions192192100.00
Logical192192100.00
Non-Logical00
Event00

 LINE       63
 EXPRESSION (reg_we && ((!addrmiss)))
             ---1--    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT47,T48,T49
11CoveredT1,T2,T3

 LINE       75
 EXPRESSION (intg_err || reg_we_err)
             ----1---    -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT16,T17,T18
10CoveredT47,T48,T49

 LINE       82
 EXPRESSION (err_q | intg_err | reg_we_err)
             --1--   ----2---   -----3----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT16,T17,T18
010CoveredT47,T48,T49
100CoveredT47,T48,T49

 LINE       124
 EXPRESSION ((devmode_i & addrmiss) | wr_err | intg_err)
             -----------1----------   ---2--   ----3---
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT47,T48,T49
010CoveredT50,T51,T52
100CoveredT51,T52,T53

 LINE       124
 SUB-EXPRESSION (devmode_i & addrmiss)
                 ----1----   ----2---
-1--2-StatusTests
01Unreachable
10CoveredT1,T2,T3
11CoveredT47,T48,T49

 LINE       323
 EXPRESSION (control_we & ctrl_cfg_regwen_qs)
             -----1----   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT54,T55,T56
11CoveredT1,T2,T3

 LINE       559
 EXPRESSION (wakeup_en_we & wakeup_en_regwen_qs)
             ------1-----   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT47,T48,T49
11CoveredT1,T2,T3

 LINE       920
 EXPRESSION (reset_en_we & reset_en_regwen_qs)
             -----1-----   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT47,T57,T48
11CoveredT1,T4,T5

 LINE       1232
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_INTR_STATE_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1233
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_INTR_ENABLE_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1234
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_INTR_TEST_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1235
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_ALERT_TEST_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1236
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_CTRL_CFG_REGWEN_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1237
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_CONTROL_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1238
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_CFG_CDC_SYNC_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1239
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_WAKEUP_EN_REGWEN_OFFSET)
            ------------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1240
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_WAKEUP_EN_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1241
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_WAKE_STATUS_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1242
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_RESET_EN_REGWEN_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1243
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_RESET_EN_OFFSET)
            --------------------------1-------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1244
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_RESET_STATUS_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1245
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_ESCALATE_RESET_STATUS_OFFSET)
            --------------------------------1--------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1246
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_WAKE_INFO_CAPTURE_DIS_OFFSET)
            --------------------------------1--------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1247
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_WAKE_INFO_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1248
 EXPRESSION (reg_addr == pwrmgr_reg_pkg::PWRMGR_FAULT_STATUS_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T7

 LINE       1251
 EXPRESSION ((reg_re || reg_we) ? ((~|addr_hit)) : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1251
 SUB-EXPRESSION (reg_re || reg_we)
                 ---1--    ---2--
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       1255
 EXPRESSION 
 Number  Term
      1  reg_we & 
      2  ((addr_hit[0] & ((|(4'b1 & (~reg_be))))) | (addr_hit[1] & ((|(4'b1 & (~reg_be))))) | (addr_hit[2] & ((|(4'b1 & (~reg_be))))) | (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[6] & ((|(4'b1 & (~reg_be))))) | (addr_hit[7] & ((|(4'b1 & (~reg_be))))) | (addr_hit[8] & ((|(4'b1 & (~reg_be))))) | (addr_hit[9] & ((|(4'b1 & (~reg_be))))) | (addr_hit[10] & ((|(4'b1 & (~reg_be))))) | (addr_hit[11] & ((|(4'b1 & (~reg_be))))) | (addr_hit[12] & ((|(4'b1 & (~reg_be))))) | (addr_hit[13] & ((|(4'b1 & (~reg_be))))) | (addr_hit[14] & ((|(4'b1 & (~reg_be))))) | (addr_hit[15] & ((|(4'b1 & (~reg_be))))) | (addr_hit[16] & ((|(4'b1 & (~reg_be)))))))
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT47,T48,T49

 LINE       1255
 SUB-EXPRESSION 
 Number  Term
      1  (addr_hit[0] & ((|(4'b1 & (~reg_be))))) | 
      2  (addr_hit[1] & ((|(4'b1 & (~reg_be))))) | 
      3  (addr_hit[2] & ((|(4'b1 & (~reg_be))))) | 
      4  (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | 
      5  (addr_hit[4] & ((|(4'b1 & (~reg_be))))) | 
      6  (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | 
      7  (addr_hit[6] & ((|(4'b1 & (~reg_be))))) | 
      8  (addr_hit[7] & ((|(4'b1 & (~reg_be))))) | 
      9  (addr_hit[8] & ((|(4'b1 & (~reg_be))))) | 
     10  (addr_hit[9] & ((|(4'b1 & (~reg_be))))) | 
     11  (addr_hit[10] & ((|(4'b1 & (~reg_be))))) | 
     12  (addr_hit[11] & ((|(4'b1 & (~reg_be))))) | 
     13  (addr_hit[12] & ((|(4'b1 & (~reg_be))))) | 
     14  (addr_hit[13] & ((|(4'b1 & (~reg_be))))) | 
     15  (addr_hit[14] & ((|(4'b1 & (~reg_be))))) | 
     16  (addr_hit[15] & ((|(4'b1 & (~reg_be))))) | 
     17  (addr_hit[16] & ((|(4'b1 & (~reg_be))))))
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16--17-StatusTests
00000000000000000CoveredT1,T2,T3
00000000000000001CoveredT2,T3,T7
00000000000000010CoveredT2,T3,T7
00000000000000100CoveredT2,T3,T7
00000000000001000CoveredT1,T2,T3
00000000000010000CoveredT1,T2,T3
00000000000100000CoveredT1,T2,T3
00000000001000000CoveredT2,T3,T7
00000000010000000CoveredT1,T2,T3
00000000100000000CoveredT2,T3,T7
00000001000000000CoveredT2,T7,T55
00000010000000000CoveredT1,T2,T3
00000100000000000CoveredT2,T3,T7
00001000000000000CoveredT2,T3,T7
00010000000000000CoveredT1,T2,T3
00100000000000000CoveredT2,T3,T7
01000000000000000CoveredT3,T7,T55
10000000000000000CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[0] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[1] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT3,T7,T55

 LINE       1255
 SUB-EXPRESSION (addr_hit[2] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[3] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T7
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[4] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[5] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[6] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[7] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T7,T55

 LINE       1255
 SUB-EXPRESSION (addr_hit[8] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[9] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[10] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[11] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[12] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[13] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T55
11CoveredT1,T2,T3

 LINE       1255
 SUB-EXPRESSION (addr_hit[14] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[15] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T7

 LINE       1255
 SUB-EXPRESSION (addr_hit[16] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T7
11CoveredT2,T3,T7

 LINE       1276
 EXPRESSION (addr_hit[0] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT51,T52,T58
111CoveredT1,T2,T3

 LINE       1279
 EXPRESSION (addr_hit[1] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT59,T52,T53
111CoveredT1,T2,T3

 LINE       1282
 EXPRESSION (addr_hit[2] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT51,T58,T60
111CoveredT61,T62,T63

 LINE       1285
 EXPRESSION (addr_hit[3] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT48,T50,T51
111CoveredT47,T63,T57

 LINE       1288
 EXPRESSION (addr_hit[4] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT48,T64,T65
111CoveredT1,T19,T20

 LINE       1289
 EXPRESSION (addr_hit[5] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT53,T58,T60
111CoveredT1,T2,T3

 LINE       1302
 EXPRESSION (addr_hit[6] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT49,T50,T66
111CoveredT1,T2,T4

 LINE       1305
 EXPRESSION (addr_hit[7] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT47,T49,T59
111CoveredT47,T63,T57

 LINE       1308
 EXPRESSION (addr_hit[8] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT52,T58,T60
111CoveredT1,T2,T3

 LINE       1321
 EXPRESSION (addr_hit[10] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT66,T60,T67
111CoveredT47,T63,T57

 LINE       1324
 EXPRESSION (addr_hit[11] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT49,T52,T53
111CoveredT1,T4,T5

 LINE       1329
 EXPRESSION (addr_hit[14] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT52,T60,T68
111CoveredT1,T2,T3

 LINE       1332
 EXPRESSION (addr_hit[15] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT47,T69,T70
111CoveredT1,T2,T5

 LINE       1333
 EXPRESSION (addr_hit[15] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT50,T52,T53
111CoveredT1,T2,T3

Branch Coverage for Module : pwrmgr_reg_top
Line No.TotalCoveredPercent
Branches 23 23 100.00
TERNARY 1251 2 2 100.00
IF 73 3 3 100.00
CASE 1366 18 18 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_systems_pwrmgr_reg_0.1/rtl/autogen/pwrmgr_reg_top.sv' or '../src/lowrisc_systems_pwrmgr_reg_0.1/rtl/autogen/pwrmgr_reg_top.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 1251 ((reg_re || reg_we)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 73 if ((!rst_lc_ni)) -2-: 75 if ((intg_err || reg_we_err))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T47,T48,T49
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1366 case (1'b1)

Branches:
-1-StatusTests
addr_hit[0] Covered T1,T2,T3
addr_hit[1] Covered T1,T2,T3
addr_hit[2] Covered T1,T2,T3
addr_hit[3] Covered T1,T2,T3
addr_hit[4] Covered T1,T2,T3
addr_hit[5] Covered T1,T2,T3
addr_hit[6] Covered T1,T2,T3
addr_hit[7] Covered T1,T2,T3
addr_hit[8] Covered T1,T2,T3
addr_hit[9] Covered T1,T2,T3
addr_hit[10] Covered T1,T2,T3
addr_hit[11] Covered T1,T2,T3
addr_hit[12] Covered T1,T2,T3
addr_hit[13] Covered T1,T2,T3
addr_hit[14] Covered T1,T2,T3
addr_hit[15] Covered T1,T2,T3
addr_hit[16] Covered T1,T2,T3
default Covered T1,T2,T3


Assert Coverage for Module : pwrmgr_reg_top
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
en2addrHit 23986550 864220 0 0
reAfterRv 23986550 864191 0 0
rePulse 23986550 548556 0 0
wePulse 23986550 315635 0 0


en2addrHit
NameAttemptsReal SuccessesFailuresIncomplete
Total 23986550 864220 0 0
T1 110371 5822 0 0
T2 5167 154 0 0
T3 3007 155 0 0
T4 1355 25 0 0
T5 2937 80 0 0
T6 7860 254 0 0
T7 9564 159 0 0
T8 4763 67 0 0
T9 785 1 0 0
T10 60625 2704 0 0

reAfterRv
NameAttemptsReal SuccessesFailuresIncomplete
Total 23986550 864191 0 0
T1 110371 5822 0 0
T2 5167 154 0 0
T3 3007 155 0 0
T4 1355 25 0 0
T5 2937 80 0 0
T6 7860 254 0 0
T7 9564 159 0 0
T8 4763 67 0 0
T9 785 1 0 0
T10 60625 2704 0 0

rePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 23986550 548556 0 0
T1 110371 2764 0 0
T2 5167 126 0 0
T3 3007 36 0 0
T4 1355 15 0 0
T5 2937 62 0 0
T6 7860 140 0 0
T7 9564 101 0 0
T8 4763 34 0 0
T9 785 1 0 0
T10 60625 1886 0 0

wePulse
NameAttemptsReal SuccessesFailuresIncomplete
Total 23986550 315635 0 0
T1 110371 3058 0 0
T2 5167 28 0 0
T3 3007 119 0 0
T4 1355 10 0 0
T5 2937 18 0 0
T6 7860 114 0 0
T7 9564 58 0 0
T8 4763 33 0 0
T9 785 0 0 0
T10 60625 818 0 0
T54 0 104 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%