Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : pwrmgr
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.51 100.00 83.33 99.21 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_pwrmgr_0.1/rtl/pwrmgr.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 96.51 100.00 83.33 99.21 100.00 100.00



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.51 100.00 83.33 99.21 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.76 98.22 96.58 99.44 96.00 96.32 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
clkmgr_pwrmgr_sva_if 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
i_wake_info 100.00 100.00 100.00 100.00
intr_wakeup 93.75 100.00 75.00 100.00 100.00
pwrmgr_clock_enables_sva_if 100.00 100.00 100.00 100.00
pwrmgr_csr_assert 100.00 100.00
pwrmgr_rstmgr_sva_if 100.00 100.00 100.00 100.00
pwrmgr_sec_cm_checker_assert 100.00 100.00 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_cdc 100.00 100.00 100.00 100.00 100.00
u_esc_clk_buf 100.00 100.00
u_esc_rst_buf 100.00 100.00
u_esc_rx 98.21 98.21
u_esc_timeout 92.79 100.00 75.00 96.15 100.00
u_fsm 98.66 100.00 98.44 94.87 100.00 100.00
u_ndm_sync 100.00 100.00 100.00
u_prim_lc_sync_dft_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_hw_debug_en 100.00 100.00 100.00 100.00
u_reg 97.24 96.01 97.64 100.00 92.53 100.00
u_slow_fsm 99.41 100.00 97.06 100.00 100.00 100.00
u_sw_req_buf 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : pwrmgr
Line No.TotalCoveredPercent
TOTAL4242100.00
CONT_ASSIGN10811100.00
CONT_ASSIGN11611100.00
CONT_ASSIGN11711100.00
ALWAYS17844100.00
CONT_ASSIGN20911100.00
CONT_ASSIGN21011100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21311100.00
CONT_ASSIGN21411100.00
CONT_ASSIGN22711100.00
CONT_ASSIGN31611100.00
ALWAYS31966100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33011100.00
CONT_ASSIGN33211100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN45711100.00
CONT_ASSIGN48211100.00
CONT_ASSIGN48611100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49911100.00
CONT_ASSIGN49911100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN56011100.00
CONT_ASSIGN63311100.00
CONT_ASSIGN63711100.00
CONT_ASSIGN69400
ALWAYS69800
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_pwrmgr_0.1/rtl/pwrmgr.sv' or '../src/lowrisc_ip_pwrmgr_0.1/rtl/pwrmgr.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
108 1 1
116 1 1
117 1 1
178 1 1
179 1 1
180 1 1
182 1 1
MISSING_ELSE
209 1 1
210 1 1
211 1 1
213 1 1
214 1 1
227 1 1
316 1 1
319 1 1
320 1 1
321 1 1
322 1 1
323 1 1
324 1 1
MISSING_ELSE
328 1 1
330 1 1
332 1 1
339 1 1
340 1 1
354 1 1
359 1 1
457 1 1
482 1 1
486 1 1
494 6 6
499 2 2
503 1 1
560 1 1
633 1 1
637 1 1
694 unreachable
698 unreachable
699 unreachable
701 unreachable


Cond Coverage for Module : pwrmgr
TotalCoveredPercent
Conditions302583.33
Logical302583.33
Non-Logical00
Event00

 LINE       213
 EXPRESSION (esc_rst_req_q | esc_timeout)
             ------1------   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01UnreachableT9,T11,T12
10CoveredT1,T5,T8

 LINE       321
 EXPRESSION (((!lowpwr_cfg_wen)) && (clr_cfg_lock || wkup))
             ---------1---------    -----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       321
 SUB-EXPRESSION (clr_cfg_lock || wkup)
                 ------1-----    --2-
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T10
10CoveredT1,T2,T3

 LINE       340
 EXPRESSION (peri_reqs_masked.rstreqs[pwrmgr_reg_pkg::ResetMainPwrIdx] | reg2hw.fault_status.main_pd_glitch.q)
             ----------------------------1----------------------------   ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT13,T14,T15
10CoveredT1,T5,T8

 LINE       354
 SUB-EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
                 ---------1---------   ----------2---------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       359
 EXPRESSION (reg2hw.fault_status.reg_intg_err.q | reg2hw.fault_status.esc_timeout.q | reg2hw.fault_status.main_pd_glitch.q)
             -----------------1----------------   ----------------2----------------   ------------------3-----------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T5,T8
010CoveredT9,T11,T12
100CoveredT16,T17,T18

 LINE       383
 EXPRESSION (reg2hw.cfg_cdc_sync.qe & reg2hw.cfg_cdc_sync.q)
             -----------1----------   ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T2,T4
11CoveredT1,T2,T4

 LINE       560
 EXPRESSION (reg2hw.control.low_power_hint.q == LowPower)
            ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       562
 EXPRESSION (core_sleeping & low_power_hint)
             ------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       633
 EXPRESSION (reg2hw.wake_info.abort.qe | reg2hw.wake_info.fall_through.qe | reg2hw.wake_info.reasons.qe)
             ------------1------------   ----------------2---------------   -------------3-------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010Not Covered
100Not Covered

Toggle Coverage for Module : pwrmgr
TotalCoveredPercent
Totals 81 79 97.53
Total Bits 508 504 99.21
Total Bits 0->1 254 252 99.21
Total Bits 1->0 254 252 99.21

Ports 81 79 97.53
Port Bits 508 504 99.21
Port Bits 0->1 254 252 99.21
Port Bits 1->0 254 252 99.21

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_slow_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_slow_ni Yes Yes T1,T8,T9 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T8,T9 Yes T1,T2,T3 INPUT
rst_main_ni Yes Yes T1,T5,T8 Yes T1,T5,T8 INPUT
clk_lc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_lc_ni Yes Yes T1,T2,T4 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T1,T2,T4 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T1,T3,T4 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T1,T19,T20 Yes T1,T19,T20 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T5,T8 Yes T1,T5,T8 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T5,T8 Yes T1,T5,T8 OUTPUT
pwr_ast_i.main_pok Yes Yes T1,T2,T6 Yes T1,T2,T3 INPUT
pwr_ast_i.usb_clk_val Yes Yes T1,T2,T4 Yes T1,T2,T3 INPUT
pwr_ast_i.io_clk_val Yes Yes T1,T2,T4 Yes T1,T2,T3 INPUT
pwr_ast_i.core_clk_val Yes Yes T1,T2,T4 Yes T1,T2,T3 INPUT
pwr_ast_i.slow_clk_val No No No INPUT
pwr_ast_o.usb_clk_en Yes Yes T1,T2,T4 Yes T1,T2,T3 OUTPUT
pwr_ast_o.io_clk_en Yes Yes T1,T2,T4 Yes T1,T2,T3 OUTPUT
pwr_ast_o.core_clk_en Yes Yes T1,T2,T4 Yes T1,T2,T3 OUTPUT
pwr_ast_o.slow_clk_en No No No OUTPUT
pwr_ast_o.pwr_clamp Yes Yes T1,T2,T3 Yes T1,T2,T6 OUTPUT
pwr_ast_o.pwr_clamp_env Yes Yes T1,T2,T3 Yes T1,T2,T6 OUTPUT
pwr_ast_o.main_pd_n Yes Yes T1,T2,T6 Yes T1,T2,T6 OUTPUT
pwr_rst_i.rst_sys_src_n[1:0] Yes Yes T1,T4,T5 Yes T1,T2,T3 INPUT
pwr_rst_i.rst_lc_src_n[1:0] Yes Yes T1,T4,T5 Yes T1,T2,T3 INPUT
pwr_rst_o.reset_cause[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_rst_o.rstreqs[4:0] Yes Yes T1,T5,T8 Yes T1,T5,T8 OUTPUT
pwr_rst_o.rst_sys_req[1:0] Yes Yes T1,T2,T3 Yes T1,T4,T5 OUTPUT
pwr_rst_o.rst_lc_req[1:0] Yes Yes T1,T2,T3 Yes T1,T4,T5 OUTPUT
pwr_clk_o.usb_ip_clk_en Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_clk_o.io_ip_clk_en Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_clk_o.main_ip_clk_en Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_clk_i.usb_status Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_clk_i.io_status Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_clk_i.main_status Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_i.otp_idle Yes Yes T1,T3,T10 Yes T1,T2,T3 INPUT
pwr_otp_i.otp_done Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_otp_o.otp_init Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_lc_i.lc_idle Yes Yes T1,T3,T10 Yes T1,T2,T3 INPUT
pwr_lc_i.lc_done Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
pwr_lc_o.lc_init Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwr_flash_i.flash_idle Yes Yes T1,T3,T10 Yes T1,T2,T3 INPUT
pwr_cpu_i.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
fetch_en_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
lc_hw_debug_en_i[3:0] Yes Yes T21,T22,T23 Yes T21,T22,T23 INPUT
lc_dft_en_i[3:0] Yes Yes T21,T22,T23 Yes T21,T22,T23 INPUT
wakeups_i[5:0] Yes Yes T1,T2,T6 Yes T1,T2,T6 INPUT
rstreqs_i[1:0] Yes Yes T1,T5,T8 Yes T1,T5,T8 INPUT
ndmreset_req_i Yes Yes T1,T5,T8 Yes T1,T5,T8 INPUT
strap_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
low_power_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_ctrl_i.good[3:0] Yes Yes T1,T10,T24 Yes T1,T10,T24 INPUT
rom_ctrl_i.done[3:0] Yes Yes T1,T10,T24 Yes T1,T10,T24 INPUT
sw_rst_req_i[3:0] Yes Yes T1,T8,T10 Yes T1,T8,T10 INPUT
esc_rst_tx_i.esc_n Yes Yes T1,T5,T8 Yes T1,T5,T8 INPUT
esc_rst_tx_i.esc_p Yes Yes T1,T5,T8 Yes T1,T5,T8 INPUT
esc_rst_rx_o.resp_n Yes Yes T1,T5,T8 Yes T1,T5,T8 OUTPUT
esc_rst_rx_o.resp_p Yes Yes T1,T5,T8 Yes T1,T5,T8 OUTPUT
intr_wakeup_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : pwrmgr
Line No.TotalCoveredPercent
Branches 7 7 100.00
IF 178 3 3 100.00
IF 319 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_pwrmgr_0.1/rtl/pwrmgr.sv' or '../src/lowrisc_ip_pwrmgr_0.1/rtl/pwrmgr.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 178 if ((!rst_lc_n)) -2-: 180 if (esc_rst_req_d)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T5,T8
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 319 if ((!rst_ni)) -2-: 321 if (((!lowpwr_cfg_wen) && (clr_cfg_lock || wkup))) -3-: 323 if (low_power_hint)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


Assert Coverage for Module : pwrmgr
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 18 18 100.00 18 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 18 18 100.00 18 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertNumCheck_A 901 901 0 0
AlertsKnownO_A 23412198 22904289 0 0
AstKnownO_A 23412198 22904289 0 0
ClkKnownO_A 23412198 22904289 0 0
ClkRatio_A 23412198 22904289 0 0
FpvSecCmFsmCheck_A 23412198 70 0 0
FpvSecCmRegWeOnehotCheck_A 23412198 70 0 0
FpvSecCmSlowFsmCheck_A 4795431 70 0 0
GlitchStatusPersist_A 23412198 5739 0 0
IntrKnownO_A 23412198 22904289 0 0
LcKnownO_A 23412198 22904289 0 0
OtpKnownO_A 23412198 22904289 0 0
PwrmgrSecCmEscToLCReset_A 23412198 1948 0 0
PwrmgrSecCmEscToSlowResetReq_A 4795431 12844 0 0
PwrmgrSecCmFsmEscToResetReq_A 23412198 83770 0 0
RstKnownO_A 23412198 22904289 0 0
TlAReadyKnownO_A 23412198 22904289 0 0
TlDValidKnownO_A 23412198 22904289 0 0


AlertNumCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 901 901 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

AlertsKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

AstKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

ClkKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

ClkRatio_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

FpvSecCmFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 70 0 0
T16 9047 10 0 0
T17 8118 10 0 0
T18 0 20 0 0
T25 0 20 0 0
T26 0 10 0 0
T27 2661 0 0 0
T28 1718 0 0 0
T29 6648 0 0 0
T30 3476 0 0 0
T31 21854 0 0 0
T32 17166 0 0 0
T33 60749 0 0 0
T34 18539 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 70 0 0
T16 9047 10 0 0
T17 8118 10 0 0
T18 0 20 0 0
T25 0 20 0 0
T26 0 10 0 0
T27 2661 0 0 0
T28 1718 0 0 0
T29 6648 0 0 0
T30 3476 0 0 0
T31 21854 0 0 0
T32 17166 0 0 0
T33 60749 0 0 0
T34 18539 0 0 0

FpvSecCmSlowFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 4795431 70 0 0
T16 3273 10 0 0
T17 4462 10 0 0
T18 0 20 0 0
T25 0 20 0 0
T26 0 10 0 0
T27 1020 0 0 0
T28 2281 0 0 0
T29 684 0 0 0
T30 4523 0 0 0
T31 8039 0 0 0
T32 1727 0 0 0
T33 6495 0 0 0
T34 7062 0 0 0

GlitchStatusPersist_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 5739 0 0
T1 110371 29 0 0
T2 5167 0 0 0
T3 3007 0 0 0
T4 1355 0 0 0
T5 2937 2 0 0
T6 7860 0 0 0
T7 9564 0 0 0
T8 4763 3 0 0
T9 785 0 0 0
T10 60625 9 0 0
T21 0 4 0 0
T22 0 15 0 0
T24 0 13 0 0
T35 0 2 0 0
T36 0 4 0 0
T37 0 17 0 0

IntrKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

LcKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

OtpKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

PwrmgrSecCmEscToLCReset_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 1948 0 0
T1 110371 2 0 0
T2 5167 0 0 0
T3 3007 0 0 0
T4 1355 0 0 0
T5 2937 0 0 0
T6 7860 0 0 0
T7 9564 0 0 0
T8 4763 5 0 0
T9 785 1 0 0
T10 60625 2 0 0
T19 0 39 0 0
T21 0 1 0 0
T35 0 1 0 0
T38 0 1 0 0
T39 0 1 0 0
T40 0 4 0 0

PwrmgrSecCmEscToSlowResetReq_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 4795431 12844 0 0
T1 138821 162 0 0
T2 519 0 0 0
T3 229 0 0 0
T4 572 0 0 0
T5 229 2 0 0
T6 2629 0 0 0
T7 962 0 0 0
T8 881 19 0 0
T9 262 0 0 0
T10 7494 11 0 0
T19 0 146 0 0
T21 0 16 0 0
T23 0 11 0 0
T35 0 7 0 0
T38 0 10 0 0
T39 0 11 0 0

PwrmgrSecCmFsmEscToResetReq_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 83770 0 0
T1 110371 145 0 0
T2 5167 0 0 0
T3 3007 0 0 0
T4 1355 0 0 0
T5 2937 27 0 0
T6 7860 0 0 0
T7 9564 0 0 0
T8 4763 126 0 0
T9 785 17 0 0
T10 60625 130 0 0
T21 0 53 0 0
T23 0 32 0 0
T35 0 86 0 0
T38 0 28 0 0
T39 0 38 0 0

RstKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

TlAReadyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

TlDValidKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23412198 22904289 0 0
T1 110371 106170 0 0
T2 5167 5107 0 0
T3 3007 2911 0 0
T4 1355 1258 0 0
T5 2937 2881 0 0
T6 7860 7780 0 0
T7 9564 9464 0 0
T8 4763 3838 0 0
T9 785 647 0 0
T10 60625 59738 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%