Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.07 94.12 89.29 98.77 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 90.32 94.12 89.29 100.00 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.32 94.12 89.29 100.00 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.51 97.42 95.86 98.49 98.66 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.46 92.83 93.54 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 75.00 75.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 75.00 75.00
tl_adapter_host_d_ibex 91.79 95.35 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 96.76 96.76
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.24 98.69 98.69 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858094.12
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS48833100.00
CONT_ASSIGN50811100.00
CONT_ASSIGN50911100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51111100.00
ALWAYS51488100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71411100.00
CONT_ASSIGN71511100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN72011100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73111100.00
CONT_ASSIGN73311100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN748100.00
CONT_ASSIGN74911100.00
CONT_ASSIGN75011100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN756100.00
ALWAYS7881111100.00
ALWAYS80477100.00
CONT_ASSIGN81511100.00
CONT_ASSIGN83411100.00
CONT_ASSIGN83511100.00
CONT_ASSIGN83611100.00
CONT_ASSIGN839100.00
CONT_ASSIGN84300
CONT_ASSIGN88211100.00
ALWAYS94100
CONT_ASSIGN982100.00
CONT_ASSIGN984100.00
CONT_ASSIGN98611100.00
CONT_ASSIGN98811100.00
CONT_ASSIGN99011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
488 1 1
489 1 1
491 1 1
508 1 1
509 1 1
510 1 1
511 1 1
514 1 1
515 1 1
516 1 1
517 1 1
518 1 1
519 1 1
520 1 1
521 1 1
MISSING_ELSE
698 2 2
699 2 2
700 2 2
704 2 2
705 2 2
706 2 2
713 1 1
714 1 1
715 1 1
718 1 1
720 1 1
722 1 1
724 1 1
731 1 1
733 1 1
735 1 1
737 1 1
747 1 1
748 0 1
749 1 1
750 1 1
753 1 1
756 0 1
788 1 1
789 1 1
790 1 1
792 1 1
793 1 1
794 1 1
795 1 1
796 1 1
797 1 1
798 1 1
799 1 1
MISSING_ELSE
804 1 1
805 1 1
806 1 1
807 1 1
809 1 1
810 1 1
811 1 1
815 1 1
834 1 1
835 1 1
836 1 1
839 0 1
843 unreachable
882 1 1
941 unreachable
942 unreachable
943 unreachable
944 unreachable
==> MISSING_ELSE
982 0 1
984 0 1
986 1 1
988 1 1
990 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT100,T214,T168
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT215,T216,T217
10CoveredT31,T5,T218

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT31,T5,T218

 LINE       731
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT219,T220,T198
10CoveredT1,T2,T3
11CoveredT55,T57,T221

 LINE       733
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT55,T57,T221
10CoveredT1,T2,T3
11CoveredT219,T220,T198

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT219,T220,T198
10CoveredT1,T2,T3
11CoveredT55,T57,T221

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT219,T220,T198
10CoveredT1,T2,T3
11CoveredT55,T57,T221

 LINE       749
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT31,T5,T218
010CoveredT100,T214,T168
100CoveredT107,T222,T223

 LINE       796
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T31,T53
11CoveredT1,T2,T3

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 121 117 96.69
Total Bits 1624 1604 98.77
Total Bits 0->1 812 802 98.77
Total Bits 1->0 812 802 98.77

Ports 121 117 96.69
Port Bits 1624 1604 98.77
Port Bits 0->1 812 802 98.77
Port Bits 1->0 812 802 98.77

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
rst_cpu_n_o Yes Yes T1,T31,T53 Yes T1,T2,T3 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T75,T76,T80 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T75,T80,T224 Yes T75,T80,T224 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_error Yes Yes T165,T191,T192 Yes T165,T191,T192 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T165,T191,T192 Yes T165,T191,T192 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_sink Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_o.d_ready Yes Yes T77,T54,T79 Yes T77,T54,T79 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T225,T226,T75 Yes T225,T226,T75 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T225,T226,T74 Yes T225,T226,T74 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T225,T226,T74 Yes T225,T226,T74 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_error Yes Yes T60,T165,T61 Yes T60,T165,T61 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_sink Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
irq_software_i Yes Yes T227,T228,T229 Yes T227,T228,T229 INPUT
irq_timer_i Yes Yes T230,T231,T146 Yes T230,T231,T146 INPUT
irq_external_i Yes Yes T2,T53,T4 Yes T2,T53,T4 INPUT
esc_tx_i.esc_n Yes Yes T88,T59,T60 Yes T88,T59,T60 INPUT
esc_tx_i.esc_p Yes Yes T88,T59,T60 Yes T88,T59,T60 INPUT
esc_rx_o.resp_n Yes Yes T88,T59,T60 Yes T88,T59,T60 OUTPUT
esc_rx_o.resp_p Yes Yes T88,T59,T60 Yes T88,T59,T60 OUTPUT
nmi_wdog_i Yes Yes T4,T62,T232 Yes T4,T62,T232 INPUT
debug_req_i Yes Yes T233,T234,T235 Yes T233,T234,T235 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
pwrmgr_o.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T74,*T75,*T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T74,*T75,*T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_error Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T53,T4,T88 Yes T53,T4,T88 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T53,T4,T88 Yes T53,T4,T88 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T74,*T75,*T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T2,*T3,*T31 Yes T2,T3,T31 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T53,T4,T62 Yes T2,T53,T87 INPUT
edn_i.edn_fips Yes Yes T53,T112,T236 Yes T53,T112,T236 INPUT
edn_i.edn_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_otp_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_otp_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
icache_otp_key_o.req Yes Yes T164,T165,T166 Yes T164,T165,T166 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T1,T31,T4 Yes T1,T3,T31 INPUT
icache_otp_key_i.key[127:0] Yes Yes T1,T3,T53 Yes T1,T53,T4 INPUT
icache_otp_key_i.ack Yes Yes T164,T166,T167 Yes T164,T166,T167 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T83,T84,T237 Yes T83,T84,T237 INPUT
alert_rx_i[0].ping_n Yes Yes T83,T84,T85 Yes T83,T84,T85 INPUT
alert_rx_i[0].ping_p Yes Yes T83,T84,T85 Yes T83,T84,T85 INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T151,T83,T84 Yes T151,T83,T84 INPUT
alert_rx_i[1].ping_n Yes Yes T151,T83,T84 Yes T151,T83,T84 INPUT
alert_rx_i[1].ping_p Yes Yes T151,T83,T84 Yes T151,T83,T84 INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T100,T107,T214 Yes T100,T107,T214 INPUT
alert_rx_i[2].ping_n Yes Yes T83,T84,T85 Yes T84,T85,T238 INPUT
alert_rx_i[2].ping_p Yes Yes T84,T85,T238 Yes T83,T84,T85 INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T83,T84,T85 Yes T83,T84,T85 INPUT
alert_rx_i[3].ping_n Yes Yes T83,T84,T85 Yes T83,T84,T213 INPUT
alert_rx_i[3].ping_p Yes Yes T83,T84,T213 Yes T83,T84,T85 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T83,T84,T237 Yes T83,T84,T237 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T151,T83,T84 Yes T151,T83,T84 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T100,T107,T214 Yes T100,T107,T214 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T83,T84,T85 Yes T83,T84,T85 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 488 2 2 100.00
IF 514 3 3 100.00
IF 792 3 3 100.00
IF 804 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T31,T5,T218
0 Covered T1,T2,T3


LineNo. Expression -1-: 488 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 514 if ((!rst_ni)) -2-: 518 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T215,T216,T217
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 792 if (reg2hw.rnd_data.re) -2-: 796 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T53,T4,T88
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 804 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 372285798 6 0 0
FpvSecCmIbexFetchEnable1_A 372285798 23369669 0 56
FpvSecCmIbexFetchEnable2_A 372285798 60921558 0 50
FpvSecCmIbexFetchEnable3Rev_A 372285798 306825043 0 1786
FpvSecCmIbexFetchEnable3_A 372285798 306826767 0 1720
FpvSecCmIbexInstrIntgErrCheck_A 372285798 75 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 372285798 586 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 372285798 0 0 0
FpvSecCmIbexPcMismatchCheck_A 372285798 0 0 0
FpvSecCmIbexRfEccErrCheck_A 372285798 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 372285798 0 0 0
FpvSecCmRegWeOnehotCheck_A 372285798 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 372285798 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 372285798 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 372285798 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 898 898 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 898 898 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 898 898 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 898 898 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 898 898 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 372285798 120 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 372285798 192 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 6 0 0
T51 157165 0 0 0
T206 424502 0 0 0
T208 419264 0 0 0
T215 264942 1 0 0
T216 0 1 0 0
T217 0 1 0 0
T239 0 1 0 0
T240 0 1 0 0
T241 0 1 0 0
T242 230186 0 0 0
T243 177617 0 0 0
T244 163845 0 0 0
T245 240911 0 0 0
T246 89569 0 0 0
T247 157015 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 23369669 0 56
T1 821864 109197 0 0
T2 601188 9919 0 0
T3 105317 9919 0 0
T4 115908 148881 0 0
T15 625072 9931 0 0
T31 172470 19842 0 0
T45 0 0 0 2
T53 671223 19449 0 0
T54 0 0 0 2
T62 303794 29777 0 0
T77 0 0 0 2
T79 0 0 0 2
T87 373413 9919 0 0
T88 145329 9931 0 0
T154 0 0 0 2
T157 0 0 0 2
T158 0 0 0 2
T159 0 0 0 2
T225 0 0 0 2
T248 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 60921558 0 50
T1 821864 382521 0 0
T2 601188 34775 0 0
T3 105317 34775 0 0
T4 115908 523192 0 0
T6 0 0 0 2
T15 625072 34775 0 0
T31 172470 69551 0 0
T53 671223 72682 0 0
T54 0 0 0 2
T62 303794 104335 0 0
T77 0 0 0 2
T79 0 0 0 2
T87 373413 34775 0 0
T88 145329 38308 0 0
T110 0 0 0 2
T157 0 0 0 2
T158 0 0 0 2
T159 0 0 0 2
T225 0 0 0 2
T249 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 306825043 0 1786
T1 821864 438681 0 2
T2 601188 566359 0 2
T3 105317 70484 0 2
T4 115908 635038 0 2
T15 625072 590232 0 2
T31 172470 102808 0 2
T53 671223 598427 0 2
T62 303794 199287 0 2
T87 373413 338580 0 2
T88 145329 106954 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 306826767 0 1720
T1 821864 438691 0 2
T2 601188 566360 0 2
T3 105317 70485 0 2
T4 115908 635046 0 2
T15 625072 590233 0 2
T31 172470 102809 0 2
T53 671223 598430 0 2
T62 303794 199289 0 2
T87 373413 338581 0 2
T88 145329 106957 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 75 0 0
T250 294846 75 0 0
T251 329087 0 0 0
T252 193567 0 0 0
T253 178001 0 0 0
T254 81585 0 0 0
T255 208279 0 0 0
T256 141682 0 0 0
T257 66991 0 0 0
T258 123314 0 0 0
T259 516918 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 586 0 0
T12 108336 0 0 0
T100 165951 98 0 0
T101 175921 0 0 0
T102 453497 0 0 0
T105 163513 0 0 0
T106 77514 0 0 0
T107 151042 0 0 0
T108 168019 0 0 0
T160 0 32 0 0
T161 0 32 0 0
T162 0 32 0 0
T168 0 97 0 0
T214 0 1 0 0
T230 100067 0 0 0
T238 0 1 0 0
T260 0 32 0 0
T261 0 32 0 0
T262 0 32 0 0
T263 344412 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 3 0 0
T42 393712 0 0 0
T43 422162 0 0 0
T107 151042 1 0 0
T108 168019 0 0 0
T109 381475 0 0 0
T110 344555 0 0 0
T111 144550 0 0 0
T222 0 1 0 0
T223 0 1 0 0
T264 211780 0 0 0
T265 218742 0 0 0
T266 82116 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 120 0 0
T5 811544 0 0 0
T41 120831 0 0 0
T44 124833 0 0 0
T140 611003 0 0 0
T164 66456 32 0 0
T165 254531 0 0 0
T166 0 20 0 0
T167 0 20 0 0
T246 0 16 0 0
T267 0 16 0 0
T268 0 16 0 0
T269 152316 0 0 0
T270 213339 0 0 0
T271 134052 0 0 0
T272 140381 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 192 0 0
T5 811544 0 0 0
T41 120831 0 0 0
T44 124833 0 0 0
T140 611003 0 0 0
T164 66456 8 0 0
T165 254531 16 0 0
T166 0 5 0 0
T167 0 5 0 0
T246 0 42 0 0
T267 0 42 0 0
T268 0 42 0 0
T269 152316 0 0 0
T270 213339 0 0 0
T271 134052 0 0 0
T272 140381 0 0 0
T273 0 16 0 0
T274 0 16 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858094.12
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS48833100.00
CONT_ASSIGN50811100.00
CONT_ASSIGN50911100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51111100.00
ALWAYS51488100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71411100.00
CONT_ASSIGN71511100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN72011100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73111100.00
CONT_ASSIGN73311100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN748100.00
CONT_ASSIGN74911100.00
CONT_ASSIGN75011100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN756100.00
ALWAYS7881111100.00
ALWAYS80477100.00
CONT_ASSIGN81511100.00
CONT_ASSIGN83411100.00
CONT_ASSIGN83511100.00
CONT_ASSIGN83611100.00
CONT_ASSIGN839100.00
CONT_ASSIGN84300
CONT_ASSIGN88211100.00
ALWAYS94100
CONT_ASSIGN982100.00
CONT_ASSIGN984100.00
CONT_ASSIGN98611100.00
CONT_ASSIGN98811100.00
CONT_ASSIGN99011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
488 1 1
489 1 1
491 1 1
508 1 1
509 1 1
510 1 1
511 1 1
514 1 1
515 1 1
516 1 1
517 1 1
518 1 1
519 1 1
520 1 1
521 1 1
MISSING_ELSE
698 2 2
699 2 2
700 2 2
704 2 2
705 2 2
706 2 2
713 1 1
714 1 1
715 1 1
718 1 1
720 1 1
722 1 1
724 1 1
731 1 1
733 1 1
735 1 1
737 1 1
747 1 1
748 0 1
749 1 1
750 1 1
753 1 1
756 0 1
788 1 1
789 1 1
790 1 1
792 1 1
793 1 1
794 1 1
795 1 1
796 1 1
797 1 1
798 1 1
799 1 1
MISSING_ELSE
804 1 1
805 1 1
806 1 1
807 1 1
809 1 1
810 1 1
811 1 1
815 1 1
834 1 1
835 1 1
836 1 1
839 0 1
843 unreachable
882 1 1
941 unreachable
942 unreachable
943 unreachable
944 unreachable
==> MISSING_ELSE
982 0 1
984 0 1
986 1 1
988 1 1
990 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT100,T214,T168
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT215,T216,T217
10CoveredT31,T5,T218

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT31,T5,T218

 LINE       731
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT219,T220,T198
10CoveredT1,T2,T3
11CoveredT55,T57,T221

 LINE       733
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT55,T57,T221
10CoveredT1,T2,T3
11CoveredT219,T220,T198

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT219,T220,T198
10CoveredT1,T2,T3
11CoveredT55,T57,T221

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT219,T220,T198
10CoveredT1,T2,T3
11CoveredT55,T57,T221

 LINE       749
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT31,T5,T218
010CoveredT100,T214,T168
100CoveredT107,T222,T223

 LINE       796
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T31,T53
11CoveredT1,T2,T3

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 117 117 100.00
Total Bits 1604 1604 100.00
Total Bits 0->1 802 802 100.00
Total Bits 1->0 802 802 100.00

Ports 117 117 100.00
Port Bits 1604 1604 100.00
Port Bits 0->1 802 802 100.00
Port Bits 1->0 802 802 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
rst_cpu_n_o Yes Yes T1,T31,T53 Yes T1,T2,T3 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T75,T76,T80 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T75,T80,T224 Yes T75,T80,T224 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
corei_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_error Yes Yes T165,T191,T192 Yes T165,T191,T192 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T165,T191,T192 Yes T165,T191,T192 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_sink Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_o.d_ready Yes Yes T77,T54,T79 Yes T77,T54,T79 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T225,T226,T75 Yes T225,T226,T75 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T225,T226,T74 Yes T225,T226,T74 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T225,T226,T74 Yes T225,T226,T74 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_error Yes Yes T60,T165,T61 Yes T60,T165,T61 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_sink Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
irq_software_i Yes Yes T227,T228,T229 Yes T227,T228,T229 INPUT
irq_timer_i Yes Yes T230,T231,T146 Yes T230,T231,T146 INPUT
irq_external_i Yes Yes T2,T53,T4 Yes T2,T53,T4 INPUT
esc_tx_i.esc_n Yes Yes T88,T59,T60 Yes T88,T59,T60 INPUT
esc_tx_i.esc_p Yes Yes T88,T59,T60 Yes T88,T59,T60 INPUT
esc_rx_o.resp_n Yes Yes T88,T59,T60 Yes T88,T59,T60 OUTPUT
esc_rx_o.resp_p Yes Yes T88,T59,T60 Yes T88,T59,T60 OUTPUT
nmi_wdog_i Yes Yes T4,T62,T232 Yes T4,T62,T232 INPUT
debug_req_i Yes Yes T233,T234,T235 Yes T233,T234,T235 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
pwrmgr_o.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T74,*T75,*T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T74,*T75,*T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 INPUT
cfg_tl_d_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_error Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T53,T4,T88 Yes T53,T4,T88 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T53,T4,T88 Yes T53,T4,T88 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T74,*T75,*T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T74,T75,T76 Yes T74,T75,T76 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T2,*T3,*T31 Yes T2,T3,T31 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T53,T4,T62 Yes T2,T53,T87 INPUT
edn_i.edn_fips Yes Yes T53,T112,T236 Yes T53,T112,T236 INPUT
edn_i.edn_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_otp_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_otp_ni Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
icache_otp_key_o.req Yes Yes T164,T165,T166 Yes T164,T165,T166 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T1,T31,T53 Yes T1,T2,T3 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T1,T31,T4 Yes T1,T3,T31 INPUT
icache_otp_key_i.key[127:0] Yes Yes T1,T3,T53 Yes T1,T53,T4 INPUT
icache_otp_key_i.ack Yes Yes T164,T166,T167 Yes T164,T166,T167 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T83,T84,T237 Yes T83,T84,T237 INPUT
alert_rx_i[0].ping_n Yes Yes T83,T84,T85 Yes T83,T84,T85 INPUT
alert_rx_i[0].ping_p Yes Yes T83,T84,T85 Yes T83,T84,T85 INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T151,T83,T84 Yes T151,T83,T84 INPUT
alert_rx_i[1].ping_n Yes Yes T151,T83,T84 Yes T151,T83,T84 INPUT
alert_rx_i[1].ping_p Yes Yes T151,T83,T84 Yes T151,T83,T84 INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T100,T107,T214 Yes T100,T107,T214 INPUT
alert_rx_i[2].ping_n Yes Yes T83,T84,T85 Yes T84,T85,T238 INPUT
alert_rx_i[2].ping_p Yes Yes T84,T85,T238 Yes T83,T84,T85 INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T83,T84,T85 Yes T83,T84,T85 INPUT
alert_rx_i[3].ping_n Yes Yes T83,T84,T85 Yes T83,T84,T213 INPUT
alert_rx_i[3].ping_p Yes Yes T83,T84,T213 Yes T83,T84,T85 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T83,T84,T237 Yes T83,T84,T237 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T151,T83,T84 Yes T151,T83,T84 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T100,T107,T214 Yes T100,T107,T214 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T83,T84,T85 Yes T83,T84,T85 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 488 2 2 100.00
IF 514 3 3 100.00
IF 792 3 3 100.00
IF 804 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T31,T5,T218
0 Covered T1,T2,T3


LineNo. Expression -1-: 488 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 514 if ((!rst_ni)) -2-: 518 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T215,T216,T217
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 792 if (reg2hw.rnd_data.re) -2-: 796 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T53,T4,T88
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 804 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 372285798 6 0 0
FpvSecCmIbexFetchEnable1_A 372285798 23369669 0 56
FpvSecCmIbexFetchEnable2_A 372285798 60921558 0 50
FpvSecCmIbexFetchEnable3Rev_A 372285798 306825043 0 1786
FpvSecCmIbexFetchEnable3_A 372285798 306826767 0 1720
FpvSecCmIbexInstrIntgErrCheck_A 372285798 75 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 372285798 586 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 372285798 0 0 0
FpvSecCmIbexPcMismatchCheck_A 372285798 0 0 0
FpvSecCmIbexRfEccErrCheck_A 372285798 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 372285798 0 0 0
FpvSecCmRegWeOnehotCheck_A 372285798 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 372285798 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 372285798 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 372285798 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 898 898 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 898 898 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 898 898 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 898 898 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 898 898 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 372285798 120 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 372285798 192 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 6 0 0
T51 157165 0 0 0
T206 424502 0 0 0
T208 419264 0 0 0
T215 264942 1 0 0
T216 0 1 0 0
T217 0 1 0 0
T239 0 1 0 0
T240 0 1 0 0
T241 0 1 0 0
T242 230186 0 0 0
T243 177617 0 0 0
T244 163845 0 0 0
T245 240911 0 0 0
T246 89569 0 0 0
T247 157015 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 23369669 0 56
T1 821864 109197 0 0
T2 601188 9919 0 0
T3 105317 9919 0 0
T4 115908 148881 0 0
T15 625072 9931 0 0
T31 172470 19842 0 0
T45 0 0 0 2
T53 671223 19449 0 0
T54 0 0 0 2
T62 303794 29777 0 0
T77 0 0 0 2
T79 0 0 0 2
T87 373413 9919 0 0
T88 145329 9931 0 0
T154 0 0 0 2
T157 0 0 0 2
T158 0 0 0 2
T159 0 0 0 2
T225 0 0 0 2
T248 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 60921558 0 50
T1 821864 382521 0 0
T2 601188 34775 0 0
T3 105317 34775 0 0
T4 115908 523192 0 0
T6 0 0 0 2
T15 625072 34775 0 0
T31 172470 69551 0 0
T53 671223 72682 0 0
T54 0 0 0 2
T62 303794 104335 0 0
T77 0 0 0 2
T79 0 0 0 2
T87 373413 34775 0 0
T88 145329 38308 0 0
T110 0 0 0 2
T157 0 0 0 2
T158 0 0 0 2
T159 0 0 0 2
T225 0 0 0 2
T249 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 306825043 0 1786
T1 821864 438681 0 2
T2 601188 566359 0 2
T3 105317 70484 0 2
T4 115908 635038 0 2
T15 625072 590232 0 2
T31 172470 102808 0 2
T53 671223 598427 0 2
T62 303794 199287 0 2
T87 373413 338580 0 2
T88 145329 106954 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 306826767 0 1720
T1 821864 438691 0 2
T2 601188 566360 0 2
T3 105317 70485 0 2
T4 115908 635046 0 2
T15 625072 590233 0 2
T31 172470 102809 0 2
T53 671223 598430 0 2
T62 303794 199289 0 2
T87 373413 338581 0 2
T88 145329 106957 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 75 0 0
T250 294846 75 0 0
T251 329087 0 0 0
T252 193567 0 0 0
T253 178001 0 0 0
T254 81585 0 0 0
T255 208279 0 0 0
T256 141682 0 0 0
T257 66991 0 0 0
T258 123314 0 0 0
T259 516918 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 586 0 0
T12 108336 0 0 0
T100 165951 98 0 0
T101 175921 0 0 0
T102 453497 0 0 0
T105 163513 0 0 0
T106 77514 0 0 0
T107 151042 0 0 0
T108 168019 0 0 0
T160 0 32 0 0
T161 0 32 0 0
T162 0 32 0 0
T168 0 97 0 0
T214 0 1 0 0
T230 100067 0 0 0
T238 0 1 0 0
T260 0 32 0 0
T261 0 32 0 0
T262 0 32 0 0
T263 344412 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 3 0 0
T42 393712 0 0 0
T43 422162 0 0 0
T107 151042 1 0 0
T108 168019 0 0 0
T109 381475 0 0 0
T110 344555 0 0 0
T111 144550 0 0 0
T222 0 1 0 0
T223 0 1 0 0
T264 211780 0 0 0
T265 218742 0 0 0
T266 82116 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 898 898 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T15 1 1 0 0
T31 1 1 0 0
T53 1 1 0 0
T62 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 120 0 0
T5 811544 0 0 0
T41 120831 0 0 0
T44 124833 0 0 0
T140 611003 0 0 0
T164 66456 32 0 0
T165 254531 0 0 0
T166 0 20 0 0
T167 0 20 0 0
T246 0 16 0 0
T267 0 16 0 0
T268 0 16 0 0
T269 152316 0 0 0
T270 213339 0 0 0
T271 134052 0 0 0
T272 140381 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 372285798 192 0 0
T5 811544 0 0 0
T41 120831 0 0 0
T44 124833 0 0 0
T140 611003 0 0 0
T164 66456 8 0 0
T165 254531 16 0 0
T166 0 5 0 0
T167 0 5 0 0
T246 0 42 0 0
T267 0 42 0 0
T268 0 42 0 0
T269 152316 0 0 0
T270 213339 0 0 0
T271 134052 0 0 0
T272 140381 0 0 0
T273 0 16 0 0
T274 0 16 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%