Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.54 96.47 89.29 98.77 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 90.79 96.47 89.29 100.00 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.79 96.47 89.29 100.00 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.54 97.67 95.64 98.61 98.66 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.60 90.68 90.10 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 100.00 100.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 75.00 75.00
tl_adapter_host_d_ibex 92.37 97.67 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 96.38 96.38
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.17 98.69 98.40 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT91,T248,T249
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT181,T250,T251
10CoveredT5,T18,T252

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT5,T181,T18

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T151,T65
10CoveredT4,T5,T6
11CoveredT58,T59,T8

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T59,T8
10CoveredT4,T5,T6
11CoveredT58,T151,T65

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T151,T65
10CoveredT4,T5,T6
11CoveredT58,T59,T8

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T151,T65
10CoveredT4,T5,T6
11CoveredT58,T59,T8

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT5,T181,T18
010CoveredT91,T248,T249
100CoveredT253,T254

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 121 117 96.69
Total Bits 1624 1604 98.77
Total Bits 0->1 812 802 98.77
Total Bits 1->0 812 802 98.77

Ports 121 117 96.69
Port Bits 1624 1604 98.77
Port Bits 0->1 812 802 98.77
Port Bits 1->0 812 802 98.77

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T5,T6,T43 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T73,T75,T144 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T73,T79,T255 Yes T73,T79,T255 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T223,T157,T224 Yes T223,T157,T224 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T248,T223,T157 Yes T248,T223,T157 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T77,T78,T8 Yes T77,T78,T8 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T77,T11,T195 Yes T77,T11,T195 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T77,T11,T195 Yes T77,T11,T195 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T77,T11,T195 Yes T77,T11,T195 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T6,T17,T61 Yes T6,T17,T61 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T98,T256,T257 Yes T98,T256,T257 INPUT
irq_timer_i Yes Yes T194,T258,T145 Yes T194,T258,T145 INPUT
irq_external_i Yes Yes T4,T6,T17 Yes T4,T6,T17 INPUT
esc_tx_i.esc_n Yes Yes T6,T17,T84 Yes T6,T17,T84 INPUT
esc_tx_i.esc_p Yes Yes T6,T17,T84 Yes T6,T17,T84 INPUT
esc_rx_o.resp_n Yes Yes T6,T17,T84 Yes T6,T17,T84 OUTPUT
esc_rx_o.resp_p Yes Yes T6,T17,T84 Yes T6,T17,T84 OUTPUT
nmi_wdog_i Yes Yes T4,T6,T17 Yes T4,T6,T17 INPUT
debug_req_i Yes Yes T259,T260,T261 Yes T259,T260,T261 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T73,*T75,*T79 Yes T73,T75,T79 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T8,*T9,*T11 Yes T8,T9,T11 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T8,T9,T11 Yes T8,T9,T11 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T4,T6,T17 Yes T4,T6,T17 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T4,T6,T17 Yes T4,T6,T17 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T8,*T9,*T11 Yes T8,T9,T11 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T5,T17,T61 Yes T4,T5,T17 INPUT
edn_i.edn_fips Yes Yes T101,T262,T263 Yes T116,T101,T264 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T174,T175,T176 Yes T174,T175,T176 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T5,T6 Yes T5,T6,T84 INPUT
icache_otp_key_i.ack Yes Yes T175,T176,T177 Yes T175,T176,T177 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T58,T265,T59 Yes T58,T265,T59 INPUT
alert_rx_i[0].ping_n Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_rx_i[0].ping_p Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T58,T151,T65 Yes T58,T151,T65 INPUT
alert_rx_i[1].ping_n Yes Yes T81,T82,T83 Yes T81,T82,T266 INPUT
alert_rx_i[1].ping_p Yes Yes T81,T82,T266 Yes T81,T82,T83 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T181,T91,T58 Yes T181,T91,T58 INPUT
alert_rx_i[2].ping_n Yes Yes T150,T81,T82 Yes T150,T81,T82 INPUT
alert_rx_i[2].ping_p Yes Yes T150,T81,T82 Yes T150,T81,T82 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T58,T59,T81 Yes T58,T59,T81 INPUT
alert_rx_i[3].ping_n Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_rx_i[3].ping_p Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T58,T265,T59 Yes T58,T265,T59 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T58,T151,T65 Yes T58,T151,T65 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T181,T91,T58 Yes T181,T91,T58 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T58,T59,T81 Yes T58,T59,T81 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T5,T181,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T181,T250,T251
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T4,T6,T17
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 485985293 6 0 0
FpvSecCmIbexFetchEnable1_A 485985293 24483816 0 94
FpvSecCmIbexFetchEnable2_A 485985293 64285079 0 82
FpvSecCmIbexFetchEnable3Rev_A 485985293 417016702 0 1952
FpvSecCmIbexFetchEnable3_A 485985293 417018554 0 1843
FpvSecCmIbexInstrIntgErrCheck_A 485985293 304 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 485985293 591 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 485985293 0 0 0
FpvSecCmIbexPcMismatchCheck_A 485985293 0 0 0
FpvSecCmIbexRfEccErrCheck_A 485985293 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 485985293 0 0 0
FpvSecCmRegWeOnehotCheck_A 485985293 2 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 485985293 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 485985293 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 485985293 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 984 984 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 984 984 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 984 984 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 984 984 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 984 984 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 485985293 182 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 485985293 194 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 6 0 0
T1 618204 0 0 0
T18 112279 0 0 0
T58 115410 0 0 0
T80 251796 0 0 0
T91 143757 0 0 0
T92 220196 0 0 0
T93 183371 0 0 0
T98 82743 0 0 0
T105 83693 0 0 0
T181 272220 1 0 0
T250 0 1 0 0
T251 0 1 0 0
T267 0 1 0 0
T268 0 1 0 0
T269 0 1 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 24483816 0 94
T4 154066 9927 0 0
T5 165953 19846 0 0
T6 105247 211967 0 0
T17 607958 101019 0 0
T18 0 0 0 2
T31 115061 49615 0 0
T43 184813 29785 0 0
T50 120373 9931 0 0
T53 0 0 0 2
T57 0 0 0 2
T61 287615 41119 0 0
T84 149075 9923 0 0
T85 152238 9923 0 0
T154 0 0 0 2
T160 0 0 0 2
T169 0 0 0 2
T170 0 0 0 2
T270 0 0 0 2
T271 0 0 0 2
T272 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 64285079 0 82
T4 154066 34793 0 0
T5 165953 69554 0 0
T6 105247 386094 0 0
T17 607958 173887 0 0
T18 0 0 0 2
T21 0 0 0 2
T31 115061 173893 0 0
T43 184813 104333 0 0
T50 120373 34775 0 0
T53 0 0 0 2
T61 287615 69554 0 0
T77 0 0 0 2
T84 149075 38799 0 0
T85 152238 37808 0 0
T160 0 0 0 2
T169 0 0 0 2
T170 0 0 0 2
T270 0 0 0 2
T272 0 0 0 2
T273 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 417016702 0 1952
T4 154066 119212 0 2
T5 165953 96276 0 2
T6 105247 562947 0 2
T17 607958 382392 0 2
T31 115061 976446 0 2
T43 184813 80305 0 2
T50 120373 85537 0 2
T61 287615 196674 0 2
T84 149075 110216 0 2
T85 152238 114370 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 417018554 0 1843
T4 154066 119213 0 2
T5 165953 96278 0 2
T6 105247 562955 0 2
T17 607958 382396 0 2
T31 115061 976450 0 2
T43 184813 80307 0 2
T50 120373 85538 0 2
T61 287615 196676 0 2
T84 149075 110219 0 2
T85 152238 114373 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 304 0 0
T47 173430 0 0 0
T54 124503 0 0 0
T55 203059 0 0 0
T203 234152 0 0 0
T223 272504 0 0 0
T248 292180 76 0 0
T274 0 76 0 0
T275 0 76 0 0
T276 0 76 0 0
T277 144367 0 0 0
T278 157446 0 0 0
T279 196952 0 0 0
T280 292544 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 591 0 0
T19 113146 0 0 0
T20 542937 0 0 0
T58 115410 0 0 0
T91 143757 98 0 0
T92 220196 0 0 0
T93 183371 0 0 0
T94 223715 0 0 0
T95 143509 0 0 0
T96 104345 0 0 0
T107 0 32 0 0
T172 0 32 0 0
T173 0 32 0 0
T249 0 99 0 0
T281 0 31 0 0
T282 0 32 0 0
T283 0 8 0 0
T284 0 1 0 0
T285 0 31 0 0
T286 141831 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 2 0 0
T36 170238 0 0 0
T253 141424 1 0 0
T254 0 1 0 0
T287 964823 0 0 0
T288 80968 0 0 0
T289 115701 0 0 0
T290 127141 0 0 0
T291 131285 0 0 0
T292 222839 0 0 0
T293 629410 0 0 0
T294 208867 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 182 0 0
T161 237898 0 0 0
T170 49366 0 0 0
T175 76794 33 0 0
T176 0 33 0 0
T177 0 33 0 0
T212 96604 0 0 0
T295 0 24 0 0
T296 0 17 0 0
T297 0 42 0 0
T298 86694 0 0 0
T299 69464 0 0 0
T300 214994 0 0 0
T301 245010 0 0 0
T302 123140 0 0 0
T303 97010 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 194 0 0
T28 113090 0 0 0
T66 450239 0 0 0
T164 397728 0 0 0
T168 357879 0 0 0
T174 366651 16 0 0
T175 0 42 0 0
T176 0 42 0 0
T177 0 42 0 0
T295 0 6 0 0
T296 0 4 0 0
T297 0 10 0 0
T304 0 16 0 0
T305 0 16 0 0
T306 93267 0 0 0
T307 219330 0 0 0
T308 121667 0 0 0
T309 84619 0 0 0
T310 151681 0 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT91,T248,T249
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT181,T250,T251
10CoveredT5,T18,T252

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT5,T181,T18

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T151,T65
10CoveredT4,T5,T6
11CoveredT58,T59,T8

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T59,T8
10CoveredT4,T5,T6
11CoveredT58,T151,T65

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T151,T65
10CoveredT4,T5,T6
11CoveredT58,T59,T8

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT58,T151,T65
10CoveredT4,T5,T6
11CoveredT58,T59,T8

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT5,T181,T18
010CoveredT91,T248,T249
100CoveredT253,T254

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 117 117 100.00
Total Bits 1604 1604 100.00
Total Bits 0->1 802 802 100.00
Total Bits 1->0 802 802 100.00

Ports 117 117 100.00
Port Bits 1604 1604 100.00
Port Bits 0->1 802 802 100.00
Port Bits 1->0 802 802 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T5,T6,T43 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T73,T75,T144 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T73,T79,T255 Yes T73,T79,T255 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T223,T157,T224 Yes T223,T157,T224 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T248,T223,T157 Yes T248,T223,T157 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T77,T78,T8 Yes T77,T78,T8 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T77,T11,T195 Yes T77,T11,T195 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T77,T11,T195 Yes T77,T11,T195 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T77,T11,T195 Yes T77,T11,T195 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T6,T17,T61 Yes T6,T17,T61 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T98,T256,T257 Yes T98,T256,T257 INPUT
irq_timer_i Yes Yes T194,T258,T145 Yes T194,T258,T145 INPUT
irq_external_i Yes Yes T4,T6,T17 Yes T4,T6,T17 INPUT
esc_tx_i.esc_n Yes Yes T6,T17,T84 Yes T6,T17,T84 INPUT
esc_tx_i.esc_p Yes Yes T6,T17,T84 Yes T6,T17,T84 INPUT
esc_rx_o.resp_n Yes Yes T6,T17,T84 Yes T6,T17,T84 OUTPUT
esc_rx_o.resp_p Yes Yes T6,T17,T84 Yes T6,T17,T84 OUTPUT
nmi_wdog_i Yes Yes T4,T6,T17 Yes T4,T6,T17 INPUT
debug_req_i Yes Yes T259,T260,T261 Yes T259,T260,T261 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T73,*T75,*T79 Yes T73,T75,T79 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T8,*T9,*T11 Yes T8,T9,T11 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T8,T9,T11 Yes T8,T9,T11 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T4,T6,T17 Yes T4,T6,T17 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T4,T6,T17 Yes T4,T6,T17 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T8,*T9,*T11 Yes T8,T9,T11 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T5,T17,T61 Yes T4,T5,T17 INPUT
edn_i.edn_fips Yes Yes T101,T262,T263 Yes T116,T101,T264 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T174,T175,T176 Yes T174,T175,T176 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T5,T6,T43 Yes T4,T5,T6 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T5,T6 Yes T5,T6,T84 INPUT
icache_otp_key_i.ack Yes Yes T175,T176,T177 Yes T175,T176,T177 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T58,T265,T59 Yes T58,T265,T59 INPUT
alert_rx_i[0].ping_n Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_rx_i[0].ping_p Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T58,T151,T65 Yes T58,T151,T65 INPUT
alert_rx_i[1].ping_n Yes Yes T81,T82,T83 Yes T81,T82,T266 INPUT
alert_rx_i[1].ping_p Yes Yes T81,T82,T266 Yes T81,T82,T83 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T181,T91,T58 Yes T181,T91,T58 INPUT
alert_rx_i[2].ping_n Yes Yes T150,T81,T82 Yes T150,T81,T82 INPUT
alert_rx_i[2].ping_p Yes Yes T150,T81,T82 Yes T150,T81,T82 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T58,T59,T81 Yes T58,T59,T81 INPUT
alert_rx_i[3].ping_n Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_rx_i[3].ping_p Yes Yes T81,T82,T83 Yes T81,T82,T83 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T58,T265,T59 Yes T58,T265,T59 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T58,T151,T65 Yes T58,T151,T65 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T181,T91,T58 Yes T181,T91,T58 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T58,T59,T81 Yes T58,T59,T81 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T5,T181,T18
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T181,T250,T251
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T4,T6,T17
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 485985293 6 0 0
FpvSecCmIbexFetchEnable1_A 485985293 24483816 0 94
FpvSecCmIbexFetchEnable2_A 485985293 64285079 0 82
FpvSecCmIbexFetchEnable3Rev_A 485985293 417016702 0 1952
FpvSecCmIbexFetchEnable3_A 485985293 417018554 0 1843
FpvSecCmIbexInstrIntgErrCheck_A 485985293 304 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 485985293 591 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 485985293 0 0 0
FpvSecCmIbexPcMismatchCheck_A 485985293 0 0 0
FpvSecCmIbexRfEccErrCheck_A 485985293 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 485985293 0 0 0
FpvSecCmRegWeOnehotCheck_A 485985293 2 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 485985293 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 485985293 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 485985293 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 984 984 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 984 984 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 984 984 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 984 984 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 984 984 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 485985293 182 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 485985293 194 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 6 0 0
T1 618204 0 0 0
T18 112279 0 0 0
T58 115410 0 0 0
T80 251796 0 0 0
T91 143757 0 0 0
T92 220196 0 0 0
T93 183371 0 0 0
T98 82743 0 0 0
T105 83693 0 0 0
T181 272220 1 0 0
T250 0 1 0 0
T251 0 1 0 0
T267 0 1 0 0
T268 0 1 0 0
T269 0 1 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 24483816 0 94
T4 154066 9927 0 0
T5 165953 19846 0 0
T6 105247 211967 0 0
T17 607958 101019 0 0
T18 0 0 0 2
T31 115061 49615 0 0
T43 184813 29785 0 0
T50 120373 9931 0 0
T53 0 0 0 2
T57 0 0 0 2
T61 287615 41119 0 0
T84 149075 9923 0 0
T85 152238 9923 0 0
T154 0 0 0 2
T160 0 0 0 2
T169 0 0 0 2
T170 0 0 0 2
T270 0 0 0 2
T271 0 0 0 2
T272 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 64285079 0 82
T4 154066 34793 0 0
T5 165953 69554 0 0
T6 105247 386094 0 0
T17 607958 173887 0 0
T18 0 0 0 2
T21 0 0 0 2
T31 115061 173893 0 0
T43 184813 104333 0 0
T50 120373 34775 0 0
T53 0 0 0 2
T61 287615 69554 0 0
T77 0 0 0 2
T84 149075 38799 0 0
T85 152238 37808 0 0
T160 0 0 0 2
T169 0 0 0 2
T170 0 0 0 2
T270 0 0 0 2
T272 0 0 0 2
T273 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 417016702 0 1952
T4 154066 119212 0 2
T5 165953 96276 0 2
T6 105247 562947 0 2
T17 607958 382392 0 2
T31 115061 976446 0 2
T43 184813 80305 0 2
T50 120373 85537 0 2
T61 287615 196674 0 2
T84 149075 110216 0 2
T85 152238 114370 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 417018554 0 1843
T4 154066 119213 0 2
T5 165953 96278 0 2
T6 105247 562955 0 2
T17 607958 382396 0 2
T31 115061 976450 0 2
T43 184813 80307 0 2
T50 120373 85538 0 2
T61 287615 196676 0 2
T84 149075 110219 0 2
T85 152238 114373 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 304 0 0
T47 173430 0 0 0
T54 124503 0 0 0
T55 203059 0 0 0
T203 234152 0 0 0
T223 272504 0 0 0
T248 292180 76 0 0
T274 0 76 0 0
T275 0 76 0 0
T276 0 76 0 0
T277 144367 0 0 0
T278 157446 0 0 0
T279 196952 0 0 0
T280 292544 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 591 0 0
T19 113146 0 0 0
T20 542937 0 0 0
T58 115410 0 0 0
T91 143757 98 0 0
T92 220196 0 0 0
T93 183371 0 0 0
T94 223715 0 0 0
T95 143509 0 0 0
T96 104345 0 0 0
T107 0 32 0 0
T172 0 32 0 0
T173 0 32 0 0
T249 0 99 0 0
T281 0 31 0 0
T282 0 32 0 0
T283 0 8 0 0
T284 0 1 0 0
T285 0 31 0 0
T286 141831 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 2 0 0
T36 170238 0 0 0
T253 141424 1 0 0
T254 0 1 0 0
T287 964823 0 0 0
T288 80968 0 0 0
T289 115701 0 0 0
T290 127141 0 0 0
T291 131285 0 0 0
T292 222839 0 0 0
T293 629410 0 0 0
T294 208867 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 984 984 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T31 1 1 0 0
T43 1 1 0 0
T50 1 1 0 0
T61 1 1 0 0
T84 1 1 0 0
T85 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 182 0 0
T161 237898 0 0 0
T170 49366 0 0 0
T175 76794 33 0 0
T176 0 33 0 0
T177 0 33 0 0
T212 96604 0 0 0
T295 0 24 0 0
T296 0 17 0 0
T297 0 42 0 0
T298 86694 0 0 0
T299 69464 0 0 0
T300 214994 0 0 0
T301 245010 0 0 0
T302 123140 0 0 0
T303 97010 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 485985293 194 0 0
T28 113090 0 0 0
T66 450239 0 0 0
T164 397728 0 0 0
T168 357879 0 0 0
T174 366651 16 0 0
T175 0 42 0 0
T176 0 42 0 0
T177 0 42 0 0
T295 0 6 0 0
T296 0 4 0 0
T297 0 10 0 0
T304 0 16 0 0
T305 0 16 0 0
T306 93267 0 0 0
T307 219330 0 0 0
T308 121667 0 0 0
T309 84619 0 0 0
T310 151681 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%