Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
89.58 96.47 89.29 98.53 100.00 63.64

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 89.83 96.47 89.29 99.75 100.00 63.64



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
89.83 96.47 89.29 99.75 100.00 63.64


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.38 97.67 95.64 98.49 98.66 91.43


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.34 90.68 89.34 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 100.00 100.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 87.50 87.50
tl_adapter_host_d_ibex 91.79 95.35 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 96.15 96.15
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.21 98.85 98.40 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T1,T5
001CoveredT215,T216,T217
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T1,T5
01CoveredT218,T219,T220
10CoveredT53,T158,T181

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT53,T158,T181

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT53,T2,T181
10CoveredT4,T1,T5
11CoveredT54,T55,T56

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT54,T55,T56
10CoveredT4,T1,T5
11CoveredT53,T2,T181

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT53,T2,T181
10CoveredT4,T1,T5
11CoveredT54,T55,T56

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT53,T181,T221
10CoveredT4,T1,T5
11CoveredT2,T54,T55

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T1,T5
001CoveredT53,T158,T181
010CoveredT215,T216,T217
100CoveredT222,T223,T224

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T15,T86
11CoveredT4,T1,T5

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 123 117 95.12
Total Bits 1628 1604 98.53
Total Bits 0->1 814 802 98.53
Total Bits 1->0 814 802 98.53

Ports 123 117 95.12
Port Bits 1628 1604 98.53
Port Bits 0->1 814 802 98.53
Port Bits 1->0 814 802 98.53

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
clk_edn_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_edn_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
clk_esc_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_esc_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
rst_cpu_n_o Yes Yes T15,T59,T38 Yes T4,T1,T5 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T73,T78,T118 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T225,T226,T227 Yes T225,T226,T227 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_error Yes Yes T38,T188,T96 Yes T38,T188,T96 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T38,T188,T96 Yes T38,T188,T96 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_sink Yes Yes T73,T75,T78 Yes T73,T75,T78 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_o.d_ready Yes Yes T65,T2,T77 Yes T65,T2,T77 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T77,T194,T195 Yes T77,T194,T195 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T77,T194,T195 Yes T77,T194,T195 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T77,T194,T195 Yes T77,T194,T195 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_error Yes Yes T15,T38,T188 Yes T15,T38,T188 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_sink Yes Yes T73,T75,T78 Yes T73,T75,T78 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
irq_software_i Yes Yes T228,T104,T229 Yes T228,T104,T229 INPUT
irq_timer_i Yes Yes T230,T231,T144 Yes T230,T231,T144 INPUT
irq_external_i Yes Yes T4,T1,T15 Yes T4,T1,T15 INPUT
esc_tx_i.esc_n Yes Yes T15,T88,T38 Yes T15,T88,T38 INPUT
esc_tx_i.esc_p Yes Yes T15,T88,T38 Yes T15,T88,T38 INPUT
esc_rx_o.resp_n Yes Yes T15,T88,T38 Yes T15,T88,T38 OUTPUT
esc_rx_o.resp_p Yes Yes T15,T88,T38 Yes T15,T88,T38 OUTPUT
nmi_wdog_i Yes Yes T15,T232,T16 Yes T15,T232,T16 INPUT
debug_req_i Yes Yes T76,T233,T234 Yes T76,T233,T234 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T1,T15,T59 Yes T4,T1,T5 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T73,*T74,*T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T2,*T73,*T75 Yes T2,T73,T75 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T74,T75,T78 Yes T74,T75,T78 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cfg_tl_d_o.d_error Yes Yes T2,T74,T75 Yes T2,T74,T75 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T1,T15,T86 Yes T1,T15,T86 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T1,T15,T86 Yes T1,T15,T86 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T2,*T78,*T118 Yes T2,T73,T75 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
edn_o.edn_req Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T15,T87,T59 Yes T4,T1,T15 INPUT
edn_i.edn_fips Yes Yes T87,T97,T235 Yes T87,T93,T97 INPUT
edn_i.edn_ack Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
clk_otp_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_otp_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
icache_otp_key_o.req Yes Yes T95,T170,T171 Yes T95,T170,T171 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T5,T59,T88 Yes T4,T5,T86 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T1,T5 Yes T4,T15,T86 INPUT
icache_otp_key_i.ack Yes Yes T95,T170,T171 Yes T95,T170,T171 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[0].ack_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[0].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[0].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[1].ack_p Yes Yes T53,T2,T181 Yes T53,T2,T181 INPUT
alert_rx_i[1].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[1].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[2].ack_p Yes Yes T215,T216,T218 Yes T215,T216,T218 INPUT
alert_rx_i[2].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[2].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[3].ack_p Yes Yes T2,T82,T83 Yes T2,T82,T83 INPUT
alert_rx_i[3].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[3].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[0].alert_p Yes Yes T82,T83,T148 Yes T82,T83,T148 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[1].alert_p Yes Yes T53,T2,T181 Yes T53,T2,T181 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[2].alert_p Yes Yes T215,T216,T218 Yes T215,T236,T216 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[3].alert_p Yes Yes T2,T82,T83 Yes T2,T82,T83 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T53,T158,T181
0 Covered T4,T1,T5


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T1,T5
0 1 Covered T218,T219,T220
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T1,T15,T86
0 1 Covered T4,T1,T5
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 14 63.64
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 14 63.64




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 470344557 5 0 0
FpvSecCmIbexFetchEnable1_A 470344557 24532802 0 68
FpvSecCmIbexFetchEnable2_A 470344557 63925692 0 52
FpvSecCmIbexFetchEnable3Rev_A 470344557 401590777 0 1950
FpvSecCmIbexFetchEnable3_A 470344557 401592590 0 1873
FpvSecCmIbexInstrIntgErrCheck_A 470344557 0 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 470344557 588 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 470344557 0 0 0
FpvSecCmIbexPcMismatchCheck_A 470344557 0 0 0
FpvSecCmIbexRfEccErrCheck_A 470344557 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 470344557 0 0 0
FpvSecCmRegWeOnehotCheck_A 470344557 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 470344557 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 470344557 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 470344557 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 980 980 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 980 980 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 980 980 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 980 980 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 980 980 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 470344557 184 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 470344557 195 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 5 0 0
T68 105935 0 0 0
T149 153149 0 0 0
T196 609227 0 0 0
T217 175528 0 0 0
T218 306441 1 0 0
T219 0 1 0 0
T220 0 1 0 0
T237 0 1 0 0
T238 0 1 0 0
T239 159512 0 0 0
T240 252110 0 0 0
T241 109325 0 0 0
T242 67449 0 0 0
T243 590805 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 24532802 0 68
T1 110167 9919 0 0
T2 0 0 0 2
T4 214119 9931 0 0
T5 69071 9923 0 0
T15 136331 281427 0 0
T38 233928 40605 0 0
T59 177554 19846 0 0
T65 0 0 0 2
T77 0 0 0 2
T86 74681 9923 0 0
T87 126119 9931 0 0
T88 145625 9927 0 0
T89 216296 9931 0 0
T153 0 0 0 2
T164 0 0 0 2
T166 0 0 0 2
T167 0 0 0 2
T244 0 0 0 2
T245 0 0 0 2
T246 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 63925692 0 52
T1 110167 38309 0 0
T2 0 0 0 2
T4 214119 34775 0 0
T5 69071 34775 0 0
T15 136331 453645 0 0
T38 233928 69555 0 0
T59 177554 69554 0 0
T65 0 0 0 2
T77 0 0 0 2
T86 74681 34775 0 0
T87 126119 34775 0 0
T88 145625 37808 0 0
T89 216296 36787 0 0
T164 0 0 0 2
T166 0 0 0 2
T167 0 0 0 2
T246 0 0 0 2
T247 0 0 0 2
T248 0 0 0 2
T249 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 401590777 0 1950
T1 110167 71798 0 2
T4 214119 179283 0 2
T5 69071 34242 0 2
T15 136331 756529 0 2
T38 233928 143503 0 2
T59 177554 107885 0 2
T86 74681 39852 0 2
T87 126119 122635 0 2
T88 145625 107750 0 2
T89 216296 179443 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 401592590 0 1873
T1 110167 71801 0 2
T4 214119 179284 0 2
T5 69071 34243 0 2
T15 136331 756539 0 2
T38 233928 143505 0 2
T59 177554 107887 0 2
T86 74681 39853 0 2
T87 126119 122635 0 2
T88 145625 107753 0 2
T89 216296 179445 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 588 0 0
T111 0 32 0 0
T168 0 31 0 0
T169 0 32 0 0
T215 270949 1 0 0
T216 0 1 0 0
T217 0 98 0 0
T236 52207 0 0 0
T250 0 32 0 0
T251 0 99 0 0
T252 0 1 0 0
T253 0 32 0 0
T254 293347 0 0 0
T255 133666 0 0 0
T256 57408 0 0 0
T257 85429 0 0 0
T258 154019 0 0 0
T259 64930 0 0 0
T260 124204 0 0 0
T261 60357 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 3 0 0
T42 86932 0 0 0
T222 226411 1 0 0
T223 0 1 0 0
T224 0 1 0 0
T262 226973 0 0 0
T263 237997 0 0 0
T264 136848 0 0 0
T265 265226 0 0 0
T266 138120 0 0 0
T267 224893 0 0 0
T268 872943 0 0 0
T269 133535 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 184 0 0
T2 201249 0 0 0
T95 108587 33 0 0
T96 282934 0 0 0
T97 142378 0 0 0
T98 128542 0 0 0
T170 0 44 0 0
T171 0 24 0 0
T270 0 32 0 0
T271 0 34 0 0
T272 0 17 0 0
T273 74351 0 0 0
T274 92617 0 0 0
T275 159257 0 0 0
T276 327931 0 0 0
T277 238050 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 195 0 0
T2 201249 0 0 0
T95 108587 42 0 0
T96 282934 0 0 0
T97 142378 0 0 0
T98 128542 0 0 0
T170 0 11 0 0
T171 0 6 0 0
T176 0 16 0 0
T177 0 16 0 0
T178 0 16 0 0
T270 0 42 0 0
T271 0 42 0 0
T272 0 4 0 0
T273 74351 0 0 0
T274 92617 0 0 0
T275 159257 0 0 0
T276 327931 0 0 0
T277 238050 0 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T1,T5
001CoveredT215,T216,T217
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T1,T5
01CoveredT218,T219,T220
10CoveredT53,T158,T181

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT53,T158,T181

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT53,T2,T181
10CoveredT4,T1,T5
11CoveredT54,T55,T56

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT54,T55,T56
10CoveredT4,T1,T5
11CoveredT53,T2,T181

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT53,T2,T181
10CoveredT4,T1,T5
11CoveredT54,T55,T56

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT53,T181,T221
10CoveredT4,T1,T5
11CoveredT2,T54,T55

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T1,T5
001CoveredT53,T158,T181
010CoveredT215,T216,T217
100CoveredT222,T223,T224

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T15,T86
11CoveredT4,T1,T5

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 119 117 98.32
Total Bits 1608 1604 99.75
Total Bits 0->1 804 802 99.75
Total Bits 1->0 804 802 99.75

Ports 119 117 98.32
Port Bits 1608 1604 99.75
Port Bits 0->1 804 802 99.75
Port Bits 1->0 804 802 99.75

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
clk_edn_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_edn_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
clk_esc_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_esc_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
rst_cpu_n_o Yes Yes T15,T59,T38 Yes T4,T1,T5 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T73,T78,T118 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T225,T226,T227 Yes T225,T226,T227 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_error Yes Yes T38,T188,T96 Yes T38,T188,T96 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T38,T188,T96 Yes T38,T188,T96 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_sink Yes Yes T73,T75,T78 Yes T73,T75,T78 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T73,T75,T78 Yes T73,T75,T78 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_o.d_ready Yes Yes T65,T2,T77 Yes T65,T2,T77 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T77,T194,T195 Yes T77,T194,T195 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T77,T194,T195 Yes T77,T194,T195 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T77,T194,T195 Yes T77,T194,T195 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_error Yes Yes T15,T38,T188 Yes T15,T38,T188 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_sink Yes Yes T73,T75,T78 Yes T73,T75,T78 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
irq_software_i Yes Yes T228,T104,T229 Yes T228,T104,T229 INPUT
irq_timer_i Yes Yes T230,T231,T144 Yes T230,T231,T144 INPUT
irq_external_i Yes Yes T4,T1,T15 Yes T4,T1,T15 INPUT
esc_tx_i.esc_n Yes Yes T15,T88,T38 Yes T15,T88,T38 INPUT
esc_tx_i.esc_p Yes Yes T15,T88,T38 Yes T15,T88,T38 INPUT
esc_rx_o.resp_n Yes Yes T15,T88,T38 Yes T15,T88,T38 OUTPUT
esc_rx_o.resp_p Yes Yes T15,T88,T38 Yes T15,T88,T38 OUTPUT
nmi_wdog_i Yes Yes T15,T232,T16 Yes T15,T232,T16 INPUT
debug_req_i Yes Yes T76,T233,T234 Yes T76,T233,T234 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T1,T15,T59 Yes T4,T1,T5 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T73,*T74,*T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T2,*T73,*T75 Yes T2,T73,T75 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T74,T75,T78 Yes T74,T75,T78 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cfg_tl_d_o.d_error Yes Yes T2,T74,T75 Yes T2,T74,T75 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T1,T15,T86 Yes T1,T15,T86 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T1,T15,T86 Yes T1,T15,T86 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T73,T75,T78 Yes T73,T75,T78 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T2,*T78,*T118 Yes T2,T73,T75 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T73,T74,T75 Yes T73,T74,T75 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T1,*T5 Yes T4,T1,T5 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
edn_o.edn_req Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T15,T87,T59 Yes T4,T1,T15 INPUT
edn_i.edn_fips Yes Yes T87,T97,T235 Yes T87,T93,T97 INPUT
edn_i.edn_ack Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
clk_otp_i Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
rst_otp_ni Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
icache_otp_key_o.req Yes Yes T95,T170,T171 Yes T95,T170,T171 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T15,T59,T38 Yes T4,T1,T5 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T5,T59,T88 Yes T4,T5,T86 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T1,T5 Yes T4,T15,T86 INPUT
icache_otp_key_i.ack Yes Yes T95,T170,T171 Yes T95,T170,T171 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[0].ack_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[0].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[0].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[1].ack_p Yes Yes T53,T2,T181 Yes T53,T2,T181 INPUT
alert_rx_i[1].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[1].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[2].ack_p Yes Yes T215,T216,T218 Yes T215,T216,T218 INPUT
alert_rx_i[2].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[2].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T1,T5 Yes T4,T1,T5 INPUT
alert_rx_i[3].ack_p Yes Yes T2,T82,T83 Yes T2,T82,T83 INPUT
alert_rx_i[3].ping_n Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_rx_i[3].ping_p Yes Yes T82,T83,T148 Yes T82,T83,T148 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[0].alert_p Yes Yes T82,T83,T148 Yes T82,T83,T148 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[1].alert_p Yes Yes T53,T2,T181 Yes T53,T2,T181 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[2].alert_p Yes Yes T215,T216,T218 Yes T215,T236,T216 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T1,T5 Yes T4,T1,T5 OUTPUT
alert_tx_o[3].alert_p Yes Yes T2,T82,T83 Yes T2,T82,T83 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T53,T158,T181
0 Covered T4,T1,T5


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T1,T5
0 1 Covered T218,T219,T220
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T1,T15,T86
0 1 Covered T4,T1,T5
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 14 63.64
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 14 63.64




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 470344557 5 0 0
FpvSecCmIbexFetchEnable1_A 470344557 24532802 0 68
FpvSecCmIbexFetchEnable2_A 470344557 63925692 0 52
FpvSecCmIbexFetchEnable3Rev_A 470344557 401590777 0 1950
FpvSecCmIbexFetchEnable3_A 470344557 401592590 0 1873
FpvSecCmIbexInstrIntgErrCheck_A 470344557 0 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 470344557 588 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 470344557 0 0 0
FpvSecCmIbexPcMismatchCheck_A 470344557 0 0 0
FpvSecCmIbexRfEccErrCheck_A 470344557 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 470344557 0 0 0
FpvSecCmRegWeOnehotCheck_A 470344557 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 470344557 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 470344557 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 470344557 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 980 980 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 980 980 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 980 980 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 980 980 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 980 980 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 470344557 184 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 470344557 195 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 5 0 0
T68 105935 0 0 0
T149 153149 0 0 0
T196 609227 0 0 0
T217 175528 0 0 0
T218 306441 1 0 0
T219 0 1 0 0
T220 0 1 0 0
T237 0 1 0 0
T238 0 1 0 0
T239 159512 0 0 0
T240 252110 0 0 0
T241 109325 0 0 0
T242 67449 0 0 0
T243 590805 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 24532802 0 68
T1 110167 9919 0 0
T2 0 0 0 2
T4 214119 9931 0 0
T5 69071 9923 0 0
T15 136331 281427 0 0
T38 233928 40605 0 0
T59 177554 19846 0 0
T65 0 0 0 2
T77 0 0 0 2
T86 74681 9923 0 0
T87 126119 9931 0 0
T88 145625 9927 0 0
T89 216296 9931 0 0
T153 0 0 0 2
T164 0 0 0 2
T166 0 0 0 2
T167 0 0 0 2
T244 0 0 0 2
T245 0 0 0 2
T246 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 63925692 0 52
T1 110167 38309 0 0
T2 0 0 0 2
T4 214119 34775 0 0
T5 69071 34775 0 0
T15 136331 453645 0 0
T38 233928 69555 0 0
T59 177554 69554 0 0
T65 0 0 0 2
T77 0 0 0 2
T86 74681 34775 0 0
T87 126119 34775 0 0
T88 145625 37808 0 0
T89 216296 36787 0 0
T164 0 0 0 2
T166 0 0 0 2
T167 0 0 0 2
T246 0 0 0 2
T247 0 0 0 2
T248 0 0 0 2
T249 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 401590777 0 1950
T1 110167 71798 0 2
T4 214119 179283 0 2
T5 69071 34242 0 2
T15 136331 756529 0 2
T38 233928 143503 0 2
T59 177554 107885 0 2
T86 74681 39852 0 2
T87 126119 122635 0 2
T88 145625 107750 0 2
T89 216296 179443 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 401592590 0 1873
T1 110167 71801 0 2
T4 214119 179284 0 2
T5 69071 34243 0 2
T15 136331 756539 0 2
T38 233928 143505 0 2
T59 177554 107887 0 2
T86 74681 39853 0 2
T87 126119 122635 0 2
T88 145625 107753 0 2
T89 216296 179445 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 588 0 0
T111 0 32 0 0
T168 0 31 0 0
T169 0 32 0 0
T215 270949 1 0 0
T216 0 1 0 0
T217 0 98 0 0
T236 52207 0 0 0
T250 0 32 0 0
T251 0 99 0 0
T252 0 1 0 0
T253 0 32 0 0
T254 293347 0 0 0
T255 133666 0 0 0
T256 57408 0 0 0
T257 85429 0 0 0
T258 154019 0 0 0
T259 64930 0 0 0
T260 124204 0 0 0
T261 60357 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 3 0 0
T42 86932 0 0 0
T222 226411 1 0 0
T223 0 1 0 0
T224 0 1 0 0
T262 226973 0 0 0
T263 237997 0 0 0
T264 136848 0 0 0
T265 265226 0 0 0
T266 138120 0 0 0
T267 224893 0 0 0
T268 872943 0 0 0
T269 133535 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 980 980 0 0
T1 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T15 1 1 0 0
T38 1 1 0 0
T59 1 1 0 0
T86 1 1 0 0
T87 1 1 0 0
T88 1 1 0 0
T89 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 184 0 0
T2 201249 0 0 0
T95 108587 33 0 0
T96 282934 0 0 0
T97 142378 0 0 0
T98 128542 0 0 0
T170 0 44 0 0
T171 0 24 0 0
T270 0 32 0 0
T271 0 34 0 0
T272 0 17 0 0
T273 74351 0 0 0
T274 92617 0 0 0
T275 159257 0 0 0
T276 327931 0 0 0
T277 238050 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 470344557 195 0 0
T2 201249 0 0 0
T95 108587 42 0 0
T96 282934 0 0 0
T97 142378 0 0 0
T98 128542 0 0 0
T170 0 11 0 0
T171 0 6 0 0
T176 0 16 0 0
T177 0 16 0 0
T178 0 16 0 0
T270 0 42 0 0
T271 0 42 0 0
T272 0 4 0 0
T273 74351 0 0 0
T274 92617 0 0 0
T275 159257 0 0 0
T276 327931 0 0 0
T277 238050 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%