Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : pinmux_strap_sampling
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.83 99.34 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling 99.83 99.34 100.00 100.00 100.00



Module Instance : tb.dut.top_earlgrey.u_pinmux_aon.u_pinmux_strap_sampling

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.83 99.34 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.82 99.62 95.65 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
90.70 99.03 84.05 98.84 79.56 92.00 u_pinmux_aon


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_pinmux_jtag_buf_dft 100.00 100.00
u_pinmux_jtag_buf_lc 100.00 100.00
u_pinmux_jtag_buf_rv 100.00 100.00
u_por_scanmode_sync 100.00 100.00
u_prim_lc_or_hardened 100.00 100.00 100.00 100.00
u_prim_lc_sender_pinmux_hw_debug_en 100.00 100.00 100.00
u_prim_lc_sync_lc_check_byp_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_dft_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_escalate_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_hw_debug_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_pinmux_hw_debug_en 100.00 100.00 100.00
u_rst_por_aon_n_mux 85.19 100.00 55.56 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : pinmux_strap_sampling
Line No.TotalCoveredPercent
TOTAL30330199.34
CONT_ASSIGN13211100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN18711100.00
CONT_ASSIGN23011100.00
CONT_ASSIGN23211100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24111100.00
CONT_ASSIGN24211100.00
CONT_ASSIGN25911100.00
ALWAYS26299100.00
ALWAYS28399100.00
CONT_ASSIGN30811100.00
ALWAYS3121717100.00
CONT_ASSIGN37111100.00
CONT_ASSIGN37211100.00
CONT_ASSIGN37311100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN40011100.00
CONT_ASSIGN40111100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40411100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN40511100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41211100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN419100.00
CONT_ASSIGN419100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN42011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv' or '../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
132 1 1
133 1 1
153 1 1
157 1 1
187 1 1
230 1 1
232 1 1
236 1 1
240 1 1
241 1 1
242 1 1
259 1 1
262 1 1
263 1 1
264 1 1
268 1 1
269 1 1
MISSING_ELSE
274 1 1
275 1 1
276 1 1
277 1 1
MISSING_ELSE
MISSING_ELSE
283 1 1
284 1 1
285 1 1
286 1 1
287 1 1
289 1 1
290 1 1
291 1 1
292 1 1
308 1 1
312 1 1
315 1 1
316 1 1
317 1 1
319 1 1
321 1 1
323 1 1
324 1 1
325 1 1
328 1 1
329 1 1
330 1 1
331 1 1
MISSING_ELSE
335 1 1
336 1 1
337 1 1
338 1 1
MISSING_ELSE
371 1 1
372 1 1
373 1 1
396 5 5
400 1 1
401 1 1
404 4 4
405 4 4
412 2 2
414 3 3
417 58 58
418 58 58
419 56 58
420 58 58


Cond Coverage for Module : pinmux_strap_sampling
TotalCoveredPercent
Conditions5555100.00
Logical5555100.00
Non-Logical00
Event00

 LINE       230
 EXPRESSION (lc_strap_sample_en ? in_padring_i[30] : tap_strap_q[0])
             ---------1--------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       232
 EXPRESSION (rv_strap_sample_en ? in_padring_i[27] : tap_strap_q[1])
             ---------1--------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       236
 EXPRESSION (dft_strap_sample_en ? ({in_padring_i[42], in_padring_i[40]}) : dft_strap_q)
             ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       240
 EXPRESSION (dft_strap_sample_en | dft_strap_valid_q)
             ---------1---------   --------2--------
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT4,T5,T6
10CoveredT4,T5,T6

 LINE       268
 EXPRESSION (strap_en_q && tap_sampling_en)
             -----1----    -------2-------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT56,T18,T159
11CoveredT4,T5,T6

 LINE       274
 EXPRESSION (strap_en_q || tap_sampling_en)
             -----1----    -------2-------
-1--2-StatusTests
00CoveredT5,T6,T17
01CoveredT4,T5,T6
10CoveredT56,T18,T159

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[38])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       396
 EXPRESSION (jtag_en ? 1'b0 : in_padring_i[39])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       400
 EXPRESSION (jtag_en ? jtag_rsp.tdo : out_core_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       401
 EXPRESSION (jtag_en ? jtag_rsp.tdo_oe : oe_core_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[38])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       404
 EXPRESSION (jtag_en ? 1'b0 : out_core_i[39])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[38])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       405
 EXPRESSION (jtag_en ? 1'b0 : oe_core_i[39])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       412
 EXPRESSION 
 Number  Term
      1  jtag_en ? ('{schmitt_en:1'b1, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0}) : attr_core_i[38])
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       412
 EXPRESSION 
 Number  Term
      1  jtag_en ? ('{schmitt_en:1'b1, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0, (*adjust*)default:'0}) : attr_core_i[39])
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       414
 EXPRESSION (jtag_en ? '0 : attr_core_i[35])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       414
 EXPRESSION (jtag_en ? '0 : attr_core_i[36])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

 LINE       414
 EXPRESSION (jtag_en ? '0 : attr_core_i[37])
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT59,T57,T58

Branch Coverage for Module : pinmux_strap_sampling
Line No.TotalCoveredPercent
Branches 59 59 100.00
TERNARY 230 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 236 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 414 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 400 2 2 100.00
TERNARY 401 2 2 100.00
TERNARY 414 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 414 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 412 2 2 100.00
TERNARY 396 2 2 100.00
TERNARY 404 2 2 100.00
TERNARY 405 2 2 100.00
TERNARY 412 2 2 100.00
IF 268 2 2 100.00
IF 274 3 3 100.00
IF 283 2 2 100.00
CASE 321 6 6 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv' or '../src/lowrisc_ip_pinmux_component_0.1/rtl/pinmux_strap_sampling.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 230 (lc_strap_sample_en) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 232 (rv_strap_sample_en) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 236 (dft_strap_sample_en) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 414 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 400 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 401 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 414 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 414 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 412 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 396 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 404 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 405 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 412 (jtag_en) ?

Branches:
-1-StatusTests
1 Covered T59,T57,T58
0 Covered T4,T5,T6


LineNo. Expression -1-: 268 if ((strap_en_q && tap_sampling_en))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 274 if ((strap_en_q || tap_sampling_en)) -2-: 276 if (lc_ctrl_pkg::lc_tx_test_true_strict(pinmux_hw_debug_en[HwDebugEnSample]))

Branches:
-1--2-StatusTests
1 1 Covered T4,T5,T6
1 0 Covered T4,T5,T6
0 - Covered T5,T6,T17


LineNo. Expression -1-: 283 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 321 case (tap_strap) -2-: 328 if (lc_ctrl_pkg::lc_tx_test_true_strict(pinmux_hw_debug_en[HwDebugEnTapSel])) -3-: 335 if (lc_ctrl_pkg::lc_tx_test_true_strict(lc_dft_en[DftEnTapSel]))

Branches:
-1--2--3-StatusTests
LcTapSel - - Covered T59,T58,T19
RvTapSel 1 - Covered T57,T70,T67
RvTapSel 0 - Covered T57,T243,T653
DftTapSel - 1 Covered T67,T68,T72
DftTapSel - 0 Covered T654
default - - Covered T4,T5,T6


Assert Coverage for Module : pinmux_strap_sampling
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 17 17 100.00 17 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 17 17 100.00 17 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DftTapOff0_A 130996603 38573974 0 290
LcHwDebugEnClear_A 130996603 12004367 0 15
LcHwDebugEnSetRev0_A 130996603 1471 0 106
LcHwDebugEnSetRev1_A 130996603 1471 0 106
LcHwDebugEnSet_A 130996603 1471 0 0
RvTapOff0_A 130996603 263 0 212
RvTapOff1_A 130996603 35743938 0 0
TapStrapKnown_A 130996603 130297006 0 0
dft_strap0_idxRange_A 1013 1013 0 0
dft_strap1_idxRange_A 1013 1013 0 0
tap_strap0_idxRange_A 1013 1013 0 0
tap_strap1_idxRange_A 1013 1013 0 0
tck_idxRange_A 1013 1013 0 0
tdi_idxRange_A 1013 1013 0 0
tdo_idxRange_A 1013 1013 0 0
tms_idxRange_A 1013 1013 0 0
trst_idxRange_A 1013 1013 0 0


DftTapOff0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 38573974 0 290
T4 20538 2481 0 0
T5 59690 10059 0 0
T6 113625 6114 0 0
T17 73043 8072 0 0
T18 0 0 0 2
T19 0 0 0 2
T20 0 0 0 2
T45 61743 10070 0 0
T55 359407 2484 0 0
T56 0 0 0 2
T63 37634 2481 0 0
T65 0 0 0 2
T66 66931 19636 0 0
T70 0 0 0 2
T73 0 0 0 2
T85 24644 2483 0 0
T86 91610 7445 0 0
T159 0 0 0 2
T207 0 0 0 2
T387 0 0 0 2

LcHwDebugEnClear_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 12004367 0 15
T5 59690 5094 0 0
T6 113625 0 0 0
T17 73043 0 0 0
T19 0 1970 0 1
T45 61743 5103 0 0
T55 359407 0 0 0
T57 0 10257 0 0
T58 0 10354 0 0
T59 67144 0 0 0
T63 37634 0 0 0
T64 0 15023 0 0
T66 66931 14669 0 0
T85 24644 0 0 0
T86 91610 0 0 0
T173 0 0 0 1
T174 0 0 0 1
T188 0 0 0 1
T189 0 0 0 1
T208 0 5101 0 0
T232 0 5103 0 0
T267 0 12337 0 0
T279 0 0 0 1
T310 0 0 0 1
T400 0 0 0 1
T655 0 0 0 1
T656 0 0 0 1

LcHwDebugEnSetRev0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 1471 0 106
T4 20538 1 0 0
T5 59690 2 0 0
T6 113625 1 0 0
T17 73043 2 0 0
T18 0 0 0 1
T19 0 0 0 1
T20 0 0 0 1
T45 61743 2 0 0
T55 359407 1 0 0
T56 0 0 0 1
T63 37634 1 0 0
T66 66931 2 0 0
T73 0 0 0 1
T85 24644 1 0 0
T86 91610 3 0 0
T159 0 0 0 1
T207 0 0 0 1
T237 0 0 0 1
T240 0 0 0 1
T387 0 0 0 1

LcHwDebugEnSetRev1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 1471 0 106
T4 20538 1 0 0
T5 59690 2 0 0
T6 113625 1 0 0
T17 73043 2 0 0
T18 0 0 0 1
T19 0 0 0 1
T20 0 0 0 1
T45 61743 2 0 0
T55 359407 1 0 0
T56 0 0 0 1
T63 37634 1 0 0
T66 66931 2 0 0
T73 0 0 0 1
T85 24644 1 0 0
T86 91610 3 0 0
T159 0 0 0 1
T207 0 0 0 1
T237 0 0 0 1
T240 0 0 0 1
T387 0 0 0 1

LcHwDebugEnSet_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 1471 0 0
T4 20538 1 0 0
T5 59690 2 0 0
T6 113625 1 0 0
T17 73043 2 0 0
T45 61743 2 0 0
T55 359407 1 0 0
T63 37634 1 0 0
T66 66931 2 0 0
T85 24644 1 0 0
T86 91610 3 0 0

RvTapOff0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 263 0 212
T18 444883 2 0 2
T19 0 2 0 2
T20 0 1 0 2
T56 320769 1 0 2
T57 64873 0 0 0
T64 0 8 0 0
T65 0 2 0 0
T73 0 1 0 2
T81 32449 0 0 0
T110 53099 0 0 0
T159 0 3 0 2
T168 37892 0 0 0
T199 67410 0 0 0
T207 0 1 0 2
T237 0 0 0 2
T240 0 0 0 2
T296 36813 0 0 0
T322 46635 0 0 0
T387 0 1 0 2
T388 42813 0 0 0

RvTapOff1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 35743938 0 0
T4 20538 2801 0 0
T5 59690 10447 0 0
T6 113625 3089 0 0
T17 73043 5373 0 0
T45 61743 10623 0 0
T55 359407 2761 0 0
T63 37634 2792 0 0
T66 66931 20380 0 0
T85 24644 2927 0 0
T86 91610 7804 0 0

TapStrapKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 130996603 130297006 0 0
T4 20538 20092 0 0
T5 59690 59236 0 0
T6 113625 112878 0 0
T17 73043 72466 0 0
T45 61743 61024 0 0
T55 359407 359078 0 0
T63 37634 37108 0 0
T66 66931 66019 0 0
T85 24644 24090 0 0
T86 91610 91205 0 0

dft_strap0_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

dft_strap1_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

tap_strap0_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

tap_strap1_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

tck_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

tdi_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

tdo_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

tms_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

trst_idxRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1013 1013 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T45 1 1 0 0
T55 1 1 0 0
T63 1 1 0 0
T66 1 1 0 0
T85 1 1 0 0
T86 1 1 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%