Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.76 100.00 91.05 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.05 97.64 93.40 100.00 99.37 94.83


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.68 100.00 90.57 100.00 97.83 100.00 gen_flash_cores[0].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_prim_buf_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_prim_buf_intg 100.00 100.00
u_addr_xor_storage 96.53 100.00 86.11 100.00 100.00
u_bus_inv_data_intg 0.00 0.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_prim_buf_data_xor_out 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 92.50 92.31 97.69 100.00 80.00



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.87 100.00 91.48 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.08 97.64 93.56 100.00 99.37 94.83


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.36 100.00 83.96 100.00 97.83 100.00 gen_flash_cores[1].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_prim_buf_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_prim_buf_intg 100.00 100.00
u_addr_xor_storage 96.53 100.00 86.11 100.00 100.00
u_bus_inv_data_intg 0.00 0.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_prim_buf_data_xor_out 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 92.50 92.31 97.69 100.00 80.00

Line Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Module : flash_phy_rd
TotalCoveredPercent
Conditions45842292.14
Logical45842292.14
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
140-79092.09
794100.00

Branch Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T14,T28,T29
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T15,T112,T212
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T3,T5


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T10,T39,T137
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


Assert Coverage for Module : flash_phy_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 798151312 1641665 0 0
ExclusiveOps_A 798151312 796342466 0 0
ExclusiveProgHazard_A 798151312 796342466 0 0
ExclusiveState_A 798151312 796342466 0 0
ForwardCheck_A 798151312 4160023 0 0
IdleCheck_A 798151312 102442702 0 0
MaxBufs_A 2084 2084 0 0
OneHotAlloc_A 798151312 796342466 0 0
OneHotMatch_A 798151312 796342466 0 0
OneHotRspMatch_A 798151312 796342466 0 0
OneHotUpdate_A 798151312 796342466 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 1641665 0 0
T1 12106 93 0 0
T2 802482 0 0 0
T3 314276 12681 0 0
T4 258924 0 0 0
T5 1176926 326 0 0
T6 147208 3734 0 0
T10 2220 0 0 0
T11 6972 0 0 0
T13 2796 0 0 0
T14 138914 2253 0 0
T15 0 3279 0 0
T19 0 9055 0 0
T28 0 1072 0 0
T30 0 25 0 0
T50 0 12446 0 0
T51 0 6364 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 4160023 0 0
T1 12106 108 0 0
T2 802482 0 0 0
T3 314276 23228 0 0
T4 258924 0 0 0
T5 1176926 386 0 0
T6 147208 0 0 0
T10 2220 0 0 0
T11 6972 0 0 0
T13 2796 0 0 0
T14 138914 0 0 0
T15 0 45123 0 0
T16 0 108 0 0
T24 0 32 0 0
T25 0 32 0 0
T30 0 27 0 0
T31 0 124 0 0
T50 0 29949 0 0
T112 0 23002 0 0
T135 0 6 0 0
T136 0 13455 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 102442702 0 0
T1 12106 487 0 0
T2 802482 1054976 0 0
T3 314276 82600 0 0
T4 258924 0 0 0
T5 1176926 1226 0 0
T6 147208 83741 0 0
T10 2220 268 0 0
T11 6972 648 0 0
T13 2796 128 0 0
T14 138914 72780 0 0
T15 0 157208 0 0
T19 0 51155 0 0
T28 0 39472 0 0
T30 0 61 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2084 2084 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T10 2 2 0 0
T11 2 2 0 0
T13 2 2 0 0
T14 2 2 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 798151312 796342466 0 0
T1 12106 11998 0 0
T2 802482 802450 0 0
T3 314276 313996 0 0
T4 258924 208486 0 0
T5 1176926 1176746 0 0
T6 147208 146854 0 0
T10 2220 1846 0 0
T11 6972 5562 0 0
T13 2796 2678 0 0
T14 138914 138810 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalCoveredPercent
Conditions45841791.05
Logical45841791.05
Non-Logical00
Event00

 LINE       140
 EXPRESSION (read_buf[0].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       140
 EXPRESSION (read_buf[1].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       140
 EXPRESSION (read_buf[2].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       140
 EXPRESSION (read_buf[3].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[0].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[1].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[2].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[3].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[0].attr == Invalid) | ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T207
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110CoveredT155
111CoveredT47,T48,T207

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[1].attr == Invalid) | ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T207
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110CoveredT155,T208
111CoveredT47,T48,T207

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[2].attr == Invalid) | ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T207
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110Not Covered
111CoveredT47,T48,T207

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[3].attr == Invalid) | ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T210,T48
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110Not Covered
111CoveredT47,T210,T48

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       154
 EXPRESSION (buf_invalid[1] & ((~|buf_invalid[0])))
             -------1------   ----------2---------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       154
 EXPRESSION (buf_invalid[2] & ((~|buf_invalid[(2 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       154
 EXPRESSION (buf_invalid[3] & ((~|buf_invalid[(3 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       167
 EXPRESSION ((((|buf_invalid_alloc)) | all_buf_dependency) ? '0 : ((buf_valid & (~buf_dependency))))
             ----------------------1----------------------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       167
 SUB-EXPRESSION (((|buf_invalid_alloc)) | all_buf_dependency)
                 -----------1----------   ---------2--------
-1--2-StatusTests
00CoveredT1,T3,T5
01Not Covered
10CoveredT1,T2,T3

 LINE       167
 EXPRESSION (req_o & ack_i & no_match)
             --1--   --2--   ----3---
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T15,T28
110Not Covered
111CoveredT1,T2,T3

 LINE       186
 EXPRESSION (((|buf_invalid_alloc)) ? buf_invalid_alloc : buf_valid_alloc)
             -----------1----------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[0].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[1].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[2].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[3].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[0].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[1].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[2].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[3].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[0] | buf_wip[0]) & 
      4  (read_buf[0].addr == flash_word_addr) & 
      5  ((~read_buf[0].err)) & 
      6  gen_buf_match[0].part_match & 
      7  gen_buf_match[0].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT36,T92,T211
1110111CoveredT1,T3,T5
1111011Not Covered
1111101Not Covered
1111110CoveredT112,T46,T198
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[0] | buf_wip[0])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[1] | buf_wip[1]) & 
      4  (read_buf[1].addr == flash_word_addr) & 
      5  ((~read_buf[1].err)) & 
      6  gen_buf_match[1].part_match & 
      7  gen_buf_match[1].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT36,T92,T211
1110111CoveredT1,T3,T5
1111011CoveredT208
1111101Not Covered
1111110CoveredT112,T130,T219
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[1] | buf_wip[1])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[2] | buf_wip[2]) & 
      4  (read_buf[2].addr == flash_word_addr) & 
      5  ((~read_buf[2].err)) & 
      6  gen_buf_match[2].part_match & 
      7  gen_buf_match[2].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT16,T36,T75
1110111CoveredT1,T3,T5
1111011CoveredT158,T208
1111101CoveredT213
1111110CoveredT15,T46,T220
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[2] | buf_wip[2])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[3] | buf_wip[3]) & 
      4  (read_buf[3].addr == flash_word_addr) & 
      5  ((~read_buf[3].err)) & 
      6  gen_buf_match[3].part_match & 
      7  gen_buf_match[3].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT16,T36,T75
1110111CoveredT1,T3,T5
1111011CoveredT208
1111101CoveredT215
1111110CoveredT112,T212,T214
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[3] | buf_wip[3])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[0].addr == flash_word_addr) & gen_buf_match[0].part_match & gen_buf_match[0].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT112,T46,T198
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[1].addr == flash_word_addr) & gen_buf_match[1].part_match & gen_buf_match[1].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT112,T46,T130
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[2].addr == flash_word_addr) & gen_buf_match[2].part_match & gen_buf_match[2].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT15,T46,T220
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[3].addr == flash_word_addr) & gen_buf_match[3].part_match & gen_buf_match[3].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT112,T212,T214
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[0].part_match & 
      3  gen_buf_match[0].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT31,T112,T216
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[1].part_match & 
      3  gen_buf_match[1].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT31,T112,T216
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[2].part_match & 
      3  gen_buf_match[2].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT15,T31,T216
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[3].part_match & 
      3  gen_buf_match[3].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT14,T31,T112
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       222
 EXPRESSION (buf_valid[0] & (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T16
10CoveredT1,T3,T5
11CoveredT5,T16,T36

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T16,T56
010CoveredT2,T16,T56
100CoveredT5,T64,T65

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[0].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[0].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 EXPRESSION (buf_valid[1] & (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T16
10CoveredT1,T3,T5
11CoveredT5,T16,T36

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T16,T56
010CoveredT2,T16,T56
100CoveredT5,T64,T65

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[1].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[1].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 EXPRESSION (buf_valid[2] & (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T16
10CoveredT1,T3,T5
11CoveredT5,T16,T36

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T16,T56
010CoveredT2,T16,T56
100CoveredT5,T64,T65

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[2].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[2].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 EXPRESSION (buf_valid[3] & (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T16
10CoveredT1,T3,T5
11CoveredT5,T16,T36

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T16,T56
010CoveredT2,T16,T56
100CoveredT5,T64,T65

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[3].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[3].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T16,T56

 LINE       232
 EXPRESSION (no_match ? (({flash_phy_pkg::NumBuf {(req_i & buf_en_q)}} & buf_alloc)) : '0)
             ----1---
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       239
 EXPRESSION (rdy_o & alloc[0])
             --1--   ----2---
-1--2-StatusTests
01CoveredT47,T48,T207
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       239
 EXPRESSION (rdy_o & alloc[1])
             --1--   ----2---
-1--2-StatusTests
01CoveredT19,T50,T75
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       239
 EXPRESSION (rdy_o & alloc[2])
             --1--   ----2---
-1--2-StatusTests
01CoveredT216,T47,T53
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       239
 EXPRESSION (rdy_o & alloc[3])
             --1--   ----2---
-1--2-StatusTests
01CoveredT15,T138,T221
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       291
 EXPRESSION (req_o & ack_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T15,T28
11CoveredT1,T2,T3

 LINE       292
 EXPRESSION (rd_busy & done_i)
             ---1---   ---2--
-1--2-StatusTests
01CoveredT1,T2,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       302
 EXPRESSION (((|alloc)) ? buf_alloc : buf_match)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       305
 EXPRESSION (req_i && rdy_o)
             --1--    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T6
11CoveredT1,T2,T3

 LINE       308
 EXPRESSION (rsp_fifo_vld & data_valid_o)
             ------1-----   ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       377
 EXPRESSION (((~rd_busy)) | rd_done)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       382
 EXPRESSION (rsp_fifo_rdy & scramble_stage_rdy)
             ------1-----   ---------2--------
-1--2-StatusTests
01CoveredT52
10CoveredT52
11CoveredT1,T2,T3

 LINE       393
 EXPRESSION (buf_en_q == buf_en_i)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       399
 EXPRESSION ((no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy) & ((~all_buf_dependency)) & no_buf_en_change & (calc_req_o ? calc_req_done : 1'b1))
             --------------------------------1-------------------------------   -----------2-----------   --------3-------   -----------------4-----------------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT3,T6,T14
1111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy)
                 ----1---
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (ack_i & flash_rdy & rd_stages_rdy)
                 --1--   ----2----   ------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT1,T3,T6
111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       407
 EXPRESSION (req_i & no_buf_en_change & flash_rdy & rd_stages_rdy & no_match & (calc_req_o ? calc_req_done : 1'b1))
             --1--   --------2-------   ----3----   ------4------   ----5---   -----------------6-----------------
-1--2--3--4--5--6-StatusTests
011111CoveredT1,T2,T3
101111Not Covered
110111CoveredT3,T15,T28
111011Not Covered
111101CoveredT1,T3,T5
111110CoveredT3,T14,T15
111111CoveredT1,T2,T3

 LINE       407
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       428
 EXPRESSION (rd_done && rd_attrs.ecc)
             ---1---    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T30
11CoveredT1,T2,T3

 LINE       432
 EXPRESSION (rd_done & (data_i == {flash_phy_pkg::FullDataWidth {1'b1}}))
             ---1---   ------------------------2------------------------
-1--2-StatusTests
01CoveredT1,T2,T5
10CoveredT1,T2,T3
11CoveredT1,T15,T16

 LINE       432
 SUB-EXPRESSION (data_i == {flash_phy_pkg::FullDataWidth {1'b1}})
                ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T5

 LINE       442
 EXPRESSION (valid_ecc & ecc_multi_err)
             ----1----   ------2------
-1--2-StatusTests
01CoveredT1,T25,T50
10CoveredT1,T2,T3
11CoveredT47,T218,T210

 LINE       451
 EXPRESSION ((data_err | ecc_single_err_o) ? data_ecc_chk : data_i[(flash_phy_pkg::PlainDataWidth - 1):0])
             --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT14,T28,T29

 LINE       451
 SUB-EXPRESSION (data_err | ecc_single_err_o)
                 ----1---   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT14,T28,T29
10CoveredT47,T218,T210

 LINE       456
 EXPRESSION (valid_ecc & ecc_single_err)
             ----1----   -------2------
-1--2-StatusTests
01CoveredT1,T14,T28
10CoveredT1,T2,T3
11CoveredT14,T28,T29

 LINE       491
 EXPRESSION (data_fifo_rdy & mask_fifo_rdy & addr_xor_fifo_rdy)
             ------1------   ------2------   --------3--------
-1--2--3-StatusTests
011CoveredT52
101Not Covered
110CoveredT1,T3,T6
111CoveredT1,T2,T3

 LINE       494
 EXPRESSION (rd_done & rd_attrs.descramble & ((~data_erased)))
             ---1---   ---------2---------   --------3-------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T3,T5
110CoveredT15,T112,T212
111CoveredT1,T2,T3

 LINE       497
 EXPRESSION (rd_done & rd_attrs.descramble & data_erased)
             ---1---   ---------2---------   -----3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T16,T62
110CoveredT1,T2,T3
111CoveredT15,T112,T212

 LINE       501
 EXPRESSION (rd_done & ((~descram)) & ((~fifo_data_valid)))
             ---1---   ------2-----   ----------3---------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT3,T15,T112
111CoveredT1,T3,T5

 LINE       503
 EXPRESSION (fifo_data_valid & descram_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T5
11CoveredT1,T2,T3

 LINE       504
 EXPRESSION (fifo_data_valid & dropmsk_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT15,T112,T212

 LINE       505
 EXPRESSION (fifo_data_valid & forward_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       513
 EXPRESSION (hint_descram ? (descramble_req_o & descramble_ack_i) : (hint_dropmsk ? mask_valid : fifo_data_valid))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       513
 SUB-EXPRESSION (descramble_req_o & descramble_ack_i)
                 --------1-------   --------2-------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       513
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : fifo_data_valid)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT15,T112,T212

 LINE       521
 EXPRESSION (hint_forward & (hint_dropmsk ? mask_valid : 1'b1))
             ------1-----   -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT15,T112,T212
11CoveredT1,T3,T5

 LINE       521
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : 1'b1)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT15,T112,T212

 LINE       523
 EXPRESSION (fifo_data_ready | fifo_forward_pop)
             -------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       597
 EXPRESSION (req_o & ack_i & descramble_i)
             --1--   --2--   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT15,T28,T112
110CoveredT1,T3,T5
111CoveredT1,T2,T3

 LINE       598
 EXPRESSION (calc_req_o & calc_ack_i)
             -----1----   -----2----
-1--2-StatusTests
01CoveredT2,T15,T28
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       614
 EXPRESSION (fifo_data_valid & mask_valid & hint_descram)
             -------1-------   -----2----   ------3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT3,T6,T14
110CoveredT15,T112,T212
111CoveredT1,T2,T3

 LINE       624
 EXPRESSION 
 Number  Term
      1  forward ? data_int : (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       624
 SUB-EXPRESSION (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       628
 EXPRESSION (forward ? data_err : (((~hint_forward)) ? data_err_q : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       628
 SUB-EXPRESSION (((~hint_forward)) ? data_err_q : '0)
                 --------1--------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       636
 EXPRESSION (forward | (((~hint_forward)) & fifo_data_ready))
             ---1---   ------------------2------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T3,T5

 LINE       636
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       654
 EXPRESSION (forward ? alloc_q : ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       654
 SUB-EXPRESSION ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0)
                 ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       654
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       659
 EXPRESSION (rsp_fifo_vld & data_valid & (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update)))
             ------1-----   -----2----   --------------------------3-------------------------
-1--2--3-StatusTests
011CoveredT52
101CoveredT1,T2,T3
110Not Covered
111CoveredT1,T2,T3

 LINE       659
 SUB-EXPRESSION (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update))
                 ------1------   -----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT1,T2,T3
10Not Covered

 LINE       659
 SUB-EXPRESSION (rsp_fifo_rdata.buf_sel == update)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[0] & buf_valid[0])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[1] & buf_valid[1])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[2] & buf_valid[2])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[3] & buf_valid[3])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       677
 EXPRESSION (buf_rsp_err | read_buf[i].err)
             -----1-----   -------2-------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT155,T208
10Not Covered

 LINE       683
 EXPRESSION (((|buf_rsp_match)) ? buf_rsp_data : muxed_data)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       736
 EXPRESSION (data_err_o ? inv_data_integ : data_out_intg)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T39,T137

 LINE       747
 EXPRESSION (((|buf_rsp_match)) ? buf_addr_xor_muxed : fifo_addr_xor_muxed)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       775
 EXPRESSION (rsp_fifo_rdata.intg_ecc_en ? (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth]) : '0)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       775
 SUB-EXPRESSION (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth])
                ---------------------------------------------1---------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       787
 EXPRESSION (flash_rsp_match | ((|buf_rsp_match)))
             -------1-------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T2,T3

 LINE       790
 EXPRESSION ((data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))) | arb_err_i)
             --------------------------------------1-------------------------------------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT10,T39,T137

 LINE       790
 SUB-EXPRESSION (data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err)))
                 ------1-----   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT10,T39,T137

 LINE       790
 SUB-EXPRESSION (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))
                 ----1----   ----2---   -----------------3----------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010CoveredT1,T2,T3
100CoveredT47,T218,T48

 LINE       790
 SUB-EXPRESSION (((|buf_rsp_match)) & buf_rsp_err)
                 ---------1--------   -----2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T5
11CoveredT155,T208

 LINE       794
 EXPRESSION (data_valid_o & intg_err)
             ------1-----   ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT10,T39,T137

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T14,T28,T29
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T15,T112,T212
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T3,T5


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T10,T39,T137
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 399075656 865126 0 0
ExclusiveOps_A 399075656 398171233 0 0
ExclusiveProgHazard_A 399075656 398171233 0 0
ExclusiveState_A 399075656 398171233 0 0
ForwardCheck_A 399075656 2205178 0 0
IdleCheck_A 399075656 53040680 0 0
MaxBufs_A 1042 1042 0 0
OneHotAlloc_A 399075656 398171233 0 0
OneHotMatch_A 399075656 398171233 0 0
OneHotRspMatch_A 399075656 398171233 0 0
OneHotUpdate_A 399075656 398171233 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 865126 0 0
T1 6053 59 0 0
T2 401241 0 0 0
T3 157138 6461 0 0
T4 129462 0 0 0
T5 588463 158 0 0
T6 73604 3734 0 0
T10 1110 0 0 0
T11 3486 0 0 0
T13 1398 0 0 0
T14 69457 1813 0 0
T15 0 2228 0 0
T19 0 5075 0 0
T28 0 772 0 0
T30 0 6 0 0
T50 0 7141 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 2205178 0 0
T1 6053 69 0 0
T2 401241 0 0 0
T3 157138 10357 0 0
T4 129462 0 0 0
T5 588463 178 0 0
T6 73604 0 0 0
T10 1110 0 0 0
T11 3486 0 0 0
T13 1398 0 0 0
T14 69457 0 0 0
T15 0 23173 0 0
T16 0 108 0 0
T24 0 32 0 0
T25 0 32 0 0
T30 0 6 0 0
T31 0 48 0 0
T50 0 15644 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 53040680 0 0
T1 6053 325 0 0
T2 401241 530688 0 0
T3 157138 42285 0 0
T4 129462 0 0 0
T5 588463 642 0 0
T6 73604 52303 0 0
T10 1110 268 0 0
T11 3486 648 0 0
T13 1398 128 0 0
T14 69457 40990 0 0
T15 0 81325 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalCoveredPercent
Conditions45841991.48
Logical45841991.48
Non-Logical00
Event00

 LINE       140
 EXPRESSION (read_buf[0].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       140
 EXPRESSION (read_buf[1].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       140
 EXPRESSION (read_buf[2].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       140
 EXPRESSION (read_buf[3].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[0].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[1].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[2].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       141
 EXPRESSION (read_buf[3].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[0].attr == Invalid) | ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T207
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110CoveredT47,T48
111CoveredT47,T48,T207

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[1].attr == Invalid) | ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T207
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110Not Covered
111CoveredT47,T48,T207

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[2].attr == Invalid) | ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T133,T48
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110CoveredT209
111CoveredT47,T133,T48

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       146
 EXPRESSION ((read_buf[3].attr == Invalid) | ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T207
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T5
110CoveredT155
111CoveredT47,T48,T207

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       154
 EXPRESSION (buf_invalid[1] & ((~|buf_invalid[0])))
             -------1------   ----------2---------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       154
 EXPRESSION (buf_invalid[2] & ((~|buf_invalid[(2 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       154
 EXPRESSION (buf_invalid[3] & ((~|buf_invalid[(3 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       167
 EXPRESSION ((((|buf_invalid_alloc)) | all_buf_dependency) ? '0 : ((buf_valid & (~buf_dependency))))
             ----------------------1----------------------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       167
 SUB-EXPRESSION (((|buf_invalid_alloc)) | all_buf_dependency)
                 -----------1----------   ---------2--------
-1--2-StatusTests
00CoveredT1,T3,T5
01Not Covered
10CoveredT1,T2,T3

 LINE       167
 EXPRESSION (req_o & ack_i & no_match)
             --1--   --2--   ----3---
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T15,T28
110Not Covered
111CoveredT1,T2,T3

 LINE       186
 EXPRESSION (((|buf_invalid_alloc)) ? buf_invalid_alloc : buf_valid_alloc)
             -----------1----------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[0].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[1].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[2].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[3].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[0].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[1].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[2].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[3].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[0] | buf_wip[0]) & 
      4  (read_buf[0].addr == flash_word_addr) & 
      5  ((~read_buf[0].err)) & 
      6  gen_buf_match[0].part_match & 
      7  gen_buf_match[0].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT139,T140,T222
1110111CoveredT1,T3,T5
1111011CoveredT47
1111101Not Covered
1111110CoveredT15,T112,T220
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[0] | buf_wip[0])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[1] | buf_wip[1]) & 
      4  (read_buf[1].addr == flash_word_addr) & 
      5  ((~read_buf[1].err)) & 
      6  gen_buf_match[1].part_match & 
      7  gen_buf_match[1].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111CoveredT186
1101111CoveredT150,T129,T223
1110111CoveredT1,T3,T5
1111011CoveredT48
1111101Not Covered
1111110CoveredT15,T212,T214
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[1] | buf_wip[1])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[2] | buf_wip[2]) & 
      4  (read_buf[2].addr == flash_word_addr) & 
      5  ((~read_buf[2].err)) & 
      6  gen_buf_match[2].part_match & 
      7  gen_buf_match[2].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT75,T58,T224
1110111CoveredT1,T3,T5
1111011CoveredT47,T209
1111101Not Covered
1111110CoveredT214,T225,T226
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[2] | buf_wip[2])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[3] | buf_wip[3]) & 
      4  (read_buf[3].addr == flash_word_addr) & 
      5  ((~read_buf[3].err)) & 
      6  gen_buf_match[3].part_match & 
      7  gen_buf_match[3].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T3,T5
1011111Not Covered
1101111CoveredT75,T58,T150
1110111CoveredT1,T3,T5
1111011CoveredT47,T48,T227
1111101Not Covered
1111110CoveredT112,T46,T228
1111111CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (buf_valid[3] | buf_wip[3])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T5

 LINE       196
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[0].addr == flash_word_addr) & gen_buf_match[0].part_match & gen_buf_match[0].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT15,T112,T220
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[1].addr == flash_word_addr) & gen_buf_match[1].part_match & gen_buf_match[1].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT15,T212,T214
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[2].addr == flash_word_addr) & gen_buf_match[2].part_match & gen_buf_match[2].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT214,T225,T226
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[3].addr == flash_word_addr) & gen_buf_match[3].part_match & gen_buf_match[3].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT112,T46,T228
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[0].part_match & 
      3  gen_buf_match[0].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT14,T15,T31
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[1].part_match & 
      3  gen_buf_match[1].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT15,T31,T212
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[2].part_match & 
      3  gen_buf_match[2].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT14,T31,T216
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[3].part_match & 
      3  gen_buf_match[3].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT14,T31,T112
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       222
 EXPRESSION (buf_valid[0] & (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T56
10CoveredT1,T3,T5
11CoveredT5,T62,T75

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T56,T107
010CoveredT2,T56,T62
100CoveredT5,T62,T63

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[0].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T62

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[0].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T107

 LINE       222
 EXPRESSION (buf_valid[1] & (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T56
10CoveredT1,T3,T5
11CoveredT5,T62,T75

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T56,T107
010CoveredT2,T56,T62
100CoveredT5,T62,T63

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[1].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T62

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[1].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T107

 LINE       222
 EXPRESSION (buf_valid[2] & (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T56
10CoveredT1,T3,T5
11CoveredT5,T62,T75

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T56,T107
010CoveredT2,T56,T62
100CoveredT5,T62,T63

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[2].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T62

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[2].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T107

 LINE       222
 EXPRESSION (buf_valid[3] & (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT2,T5,T56
10CoveredT1,T3,T5
11CoveredT5,T62,T75

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT2,T56,T107
010CoveredT2,T56,T62
100CoveredT5,T62,T63

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[3].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T62

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[3].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T56,T107

 LINE       232
 EXPRESSION (no_match ? (({flash_phy_pkg::NumBuf {(req_i & buf_en_q)}} & buf_alloc)) : '0)
             ----1---
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       239
 EXPRESSION (rdy_o & alloc[0])
             --1--   ----2---
-1--2-StatusTests
01CoveredT47,T48,T207
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       239
 EXPRESSION (rdy_o & alloc[1])
             --1--   ----2---
-1--2-StatusTests
01CoveredT3,T14,T15
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       239
 EXPRESSION (rdy_o & alloc[2])
             --1--   ----2---
-1--2-StatusTests
01CoveredT217,T47,T53
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       239
 EXPRESSION (rdy_o & alloc[3])
             --1--   ----2---
-1--2-StatusTests
01CoveredT15,T50,T51
10CoveredT1,T2,T3
11CoveredT1,T3,T5

 LINE       291
 EXPRESSION (req_o & ack_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T15,T28
11CoveredT1,T2,T3

 LINE       292
 EXPRESSION (rd_busy & done_i)
             ---1---   ---2--
-1--2-StatusTests
01CoveredT1,T2,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       302
 EXPRESSION (((|alloc)) ? buf_alloc : buf_match)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       305
 EXPRESSION (req_i && rdy_o)
             --1--    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T6
11CoveredT1,T2,T3

 LINE       308
 EXPRESSION (rsp_fifo_vld & data_valid_o)
             ------1-----   ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       377
 EXPRESSION (((~rd_busy)) | rd_done)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       382
 EXPRESSION (rsp_fifo_rdy & scramble_stage_rdy)
             ------1-----   ---------2--------
-1--2-StatusTests
01CoveredT52
10CoveredT52
11CoveredT1,T2,T3

 LINE       393
 EXPRESSION (buf_en_q == buf_en_i)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       399
 EXPRESSION ((no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy) & ((~all_buf_dependency)) & no_buf_en_change & (calc_req_o ? calc_req_done : 1'b1))
             --------------------------------1-------------------------------   -----------2-----------   --------3-------   -----------------4-----------------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT3,T6,T14
1111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy)
                 ----1---
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (ack_i & flash_rdy & rd_stages_rdy)
                 --1--   ----2----   ------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT3,T6,T14
111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       407
 EXPRESSION (req_i & no_buf_en_change & flash_rdy & rd_stages_rdy & no_match & (calc_req_o ? calc_req_done : 1'b1))
             --1--   --------2-------   ----3----   ------4------   ----5---   -----------------6-----------------
-1--2--3--4--5--6-StatusTests
011111CoveredT1,T2,T3
101111CoveredT194
110111CoveredT3,T15,T28
111011Not Covered
111101CoveredT1,T3,T5
111110CoveredT3,T6,T14
111111CoveredT1,T2,T3

 LINE       407
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       428
 EXPRESSION (rd_done && rd_attrs.ecc)
             ---1---    ------2-----
-1--2-StatusTests
01CoveredT2,T3,T6
10CoveredT1,T3,T5
11CoveredT2,T3,T6

 LINE       432
 EXPRESSION (rd_done & (data_i == {flash_phy_pkg::FullDataWidth {1'b1}}))
             ---1---   ------------------------2------------------------
-1--2-StatusTests
01CoveredT1,T2,T5
10CoveredT1,T2,T3
11CoveredT15,T112,T62

 LINE       432
 SUB-EXPRESSION (data_i == {flash_phy_pkg::FullDataWidth {1'b1}})
                ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T5

 LINE       442
 EXPRESSION (valid_ecc & ecc_multi_err)
             ----1----   ------2------
-1--2-StatusTests
01CoveredT3,T50,T40
10CoveredT2,T3,T6
11CoveredT47,T133,T48

 LINE       451
 EXPRESSION ((data_err | ecc_single_err_o) ? data_ecc_chk : data_i[(flash_phy_pkg::PlainDataWidth - 1):0])
             --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT14,T28,T29

 LINE       451
 SUB-EXPRESSION (data_err | ecc_single_err_o)
                 ----1---   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT14,T28,T29
10CoveredT47,T133,T48

 LINE       456
 EXPRESSION (valid_ecc & ecc_single_err)
             ----1----   -------2------
-1--2-StatusTests
01CoveredT3,T14,T28
10CoveredT2,T3,T6
11CoveredT14,T28,T29

 LINE       491
 EXPRESSION (data_fifo_rdy & mask_fifo_rdy & addr_xor_fifo_rdy)
             ------1------   ------2------   --------3--------
-1--2--3-StatusTests
011CoveredT52
101Not Covered
110CoveredT3,T6,T14
111CoveredT1,T2,T3

 LINE       494
 EXPRESSION (rd_done & rd_attrs.descramble & ((~data_erased)))
             ---1---   ---------2---------   --------3-------
-1--2--3-StatusTests
011CoveredT2,T3,T6
101CoveredT1,T3,T5
110CoveredT15,T112,T212
111CoveredT2,T3,T6

 LINE       497
 EXPRESSION (rd_done & rd_attrs.descramble & data_erased)
             ---1---   ---------2---------   -----3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT62,T225,T58
110CoveredT2,T3,T6
111CoveredT15,T112,T212

 LINE       501
 EXPRESSION (rd_done & ((~descram)) & ((~fifo_data_valid)))
             ---1---   ------2-----   ----------3---------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T6
110CoveredT3,T15,T112
111CoveredT1,T3,T5

 LINE       503
 EXPRESSION (fifo_data_valid & descram_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T5
11CoveredT2,T3,T6

 LINE       504
 EXPRESSION (fifo_data_valid & dropmsk_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT15,T112,T212

 LINE       505
 EXPRESSION (fifo_data_valid & forward_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT2,T3,T6
11CoveredT1,T3,T5

 LINE       513
 EXPRESSION (hint_descram ? (descramble_req_o & descramble_ack_i) : (hint_dropmsk ? mask_valid : fifo_data_valid))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       513
 SUB-EXPRESSION (descramble_req_o & descramble_ack_i)
                 --------1-------   --------2-------
-1--2-StatusTests
01Not Covered
10CoveredT2,T3,T6
11CoveredT2,T3,T6

 LINE       513
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : fifo_data_valid)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT15,T112,T212

 LINE       521
 EXPRESSION (hint_forward & (hint_dropmsk ? mask_valid : 1'b1))
             ------1-----   -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT15,T112,T212
11CoveredT1,T3,T5

 LINE       521
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : 1'b1)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT15,T112,T212

 LINE       523
 EXPRESSION (fifo_data_ready | fifo_forward_pop)
             -------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT2,T3,T6

 LINE       597
 EXPRESSION (req_o & ack_i & descramble_i)
             --1--   --2--   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT15,T28,T112
110CoveredT1,T3,T5
111CoveredT2,T3,T6

 LINE       598
 EXPRESSION (calc_req_o & calc_ack_i)
             -----1----   -----2----
-1--2-StatusTests
01CoveredT2,T15,T28
10CoveredT2,T3,T6
11CoveredT2,T3,T6

 LINE       614
 EXPRESSION (fifo_data_valid & mask_valid & hint_descram)
             -------1-------   -----2----   ------3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT3,T6,T14
110CoveredT15,T112,T212
111CoveredT2,T3,T6

 LINE       624
 EXPRESSION 
 Number  Term
      1  forward ? data_int : (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       624
 SUB-EXPRESSION (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       628
 EXPRESSION (forward ? data_err : (((~hint_forward)) ? data_err_q : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       628
 SUB-EXPRESSION (((~hint_forward)) ? data_err_q : '0)
                 --------1--------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       636
 EXPRESSION (forward | (((~hint_forward)) & fifo_data_ready))
             ---1---   ------------------2------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T3,T6
10CoveredT1,T3,T5

 LINE       636
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T6

 LINE       654
 EXPRESSION (forward ? alloc_q : ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       654
 SUB-EXPRESSION ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0)
                 ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       654
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T6

 LINE       659
 EXPRESSION (rsp_fifo_vld & data_valid & (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update)))
             ------1-----   -----2----   --------------------------3-------------------------
-1--2--3-StatusTests
011CoveredT52
101CoveredT2,T56,T107
110Not Covered
111CoveredT1,T2,T3

 LINE       659
 SUB-EXPRESSION (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update))
                 ------1------   -----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT1,T2,T3
10Not Covered

 LINE       659
 SUB-EXPRESSION (rsp_fifo_rdata.buf_sel == update)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[0] & buf_valid[0])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[1] & buf_valid[1])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[2] & buf_valid[2])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[3] & buf_valid[3])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T3,T5
1110CoveredT1,T3,T5
1111CoveredT1,T3,T5

 LINE       677
 EXPRESSION (buf_rsp_err | read_buf[i].err)
             -----1-----   -------2-------
-1--2-StatusTests
00CoveredT1,T3,T5
01CoveredT47,T48,T155
10Not Covered

 LINE       683
 EXPRESSION (((|buf_rsp_match)) ? buf_rsp_data : muxed_data)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       736
 EXPRESSION (data_err_o ? inv_data_integ : data_out_intg)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT46,T47,T133

 LINE       747
 EXPRESSION (((|buf_rsp_match)) ? buf_addr_xor_muxed : fifo_addr_xor_muxed)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       775
 EXPRESSION (rsp_fifo_rdata.intg_ecc_en ? (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth]) : '0)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T6

 LINE       775
 SUB-EXPRESSION (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth])
                ---------------------------------------------1---------------------------------------------
-1-StatusTests
0CoveredT2,T3,T6
1CoveredT2,T3,T6

 LINE       787
 EXPRESSION (flash_rsp_match | ((|buf_rsp_match)))
             -------1-------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T2,T3

 LINE       790
 EXPRESSION ((data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))) | arb_err_i)
             --------------------------------------1-------------------------------------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT46,T47,T133

 LINE       790
 SUB-EXPRESSION (data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err)))
                 ------1-----   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT2,T3,T6
10CoveredT1,T2,T3
11CoveredT46,T47,T133

 LINE       790
 SUB-EXPRESSION (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))
                 ----1----   ----2---   -----------------3----------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010CoveredT2,T3,T6
100CoveredT47,T48,T207

 LINE       790
 SUB-EXPRESSION (((|buf_rsp_match)) & buf_rsp_err)
                 ---------1--------   -----2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T5
11CoveredT47,T48,T155

 LINE       794
 EXPRESSION (data_valid_o & intg_err)
             ------1-----   ----2---
-1--2-StatusTests
01CoveredT2,T3,T6
10CoveredT1,T2,T3
11CoveredT46,T47,T133

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T14,T28,T29
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T3,T6
0 1 Covered T15,T112,T212
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T2,T3,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T1,T2,T3
0 0 Covered T1,T3,T5


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T3,T5
0 1 Covered T2,T3,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T46,T47,T133
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T2,T3,T6
0 0 1 Covered T2,T3,T6
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 399075656 776539 0 0
ExclusiveOps_A 399075656 398171233 0 0
ExclusiveProgHazard_A 399075656 398171233 0 0
ExclusiveState_A 399075656 398171233 0 0
ForwardCheck_A 399075656 1954845 0 0
IdleCheck_A 399075656 49402022 0 0
MaxBufs_A 1042 1042 0 0
OneHotAlloc_A 399075656 398171233 0 0
OneHotMatch_A 399075656 398171233 0 0
OneHotRspMatch_A 399075656 398171233 0 0
OneHotUpdate_A 399075656 398171233 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 776539 0 0
T1 6053 34 0 0
T2 401241 0 0 0
T3 157138 6220 0 0
T4 129462 0 0 0
T5 588463 168 0 0
T6 73604 0 0 0
T10 1110 0 0 0
T11 3486 0 0 0
T13 1398 0 0 0
T14 69457 440 0 0
T15 0 1051 0 0
T19 0 3980 0 0
T28 0 300 0 0
T30 0 19 0 0
T50 0 5305 0 0
T51 0 6364 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 1954845 0 0
T1 6053 39 0 0
T2 401241 0 0 0
T3 157138 12871 0 0
T4 129462 0 0 0
T5 588463 208 0 0
T6 73604 0 0 0
T10 1110 0 0 0
T11 3486 0 0 0
T13 1398 0 0 0
T14 69457 0 0 0
T15 0 21950 0 0
T30 0 21 0 0
T31 0 76 0 0
T50 0 14305 0 0
T112 0 23002 0 0
T135 0 6 0 0
T136 0 13455 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 49402022 0 0
T1 6053 162 0 0
T2 401241 524288 0 0
T3 157138 40315 0 0
T4 129462 0 0 0
T5 588463 584 0 0
T6 73604 31438 0 0
T10 1110 0 0 0
T11 3486 0 0 0
T13 1398 0 0 0
T14 69457 31790 0 0
T15 0 75883 0 0
T19 0 51155 0 0
T28 0 39472 0 0
T30 0 61 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 399075656 398171233 0 0
T1 6053 5999 0 0
T2 401241 401225 0 0
T3 157138 156998 0 0
T4 129462 104243 0 0
T5 588463 588373 0 0
T6 73604 73427 0 0
T10 1110 923 0 0
T11 3486 2781 0 0
T13 1398 1339 0 0
T14 69457 69405 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%