Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_generic_ram_1p
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00

Source File(s) :
/workspaces/repo/scratch/os_regression_2024_08_22/flash_ctrl-sim-vcs/default/sim-vcs/../src/lowrisc_prim_generic_ram_1p_0/rtl/prim_generic_ram_1p.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00
tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic 95.24 85.71 100.00 100.00



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_info_types[0].u_info_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_info_types[1].u_info_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_info_types[2].u_info_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_info_types[0].u_info_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_info_types[1].u_info_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.24 85.71 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_info_types[2].u_info_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : prim_generic_ram_1p
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T1 T2 T3  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T2 T3 T14  66 1/1 if (wmask[i]) begin Tests: T2 T3 T14  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T2 T3 T14  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T1 T2 T3  73 end 74 end MISSING_ELSE

Branch Coverage for Module : prim_generic_ram_1p
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T14
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


Assert Coverage for Module : prim_generic_ram_1p
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 8336 8336 0 0
gen_wmask[0].MaskCheck_A 2147483647 169077410 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 8336 8336 0 0
T1 8 8 0 0
T2 8 8 0 0
T3 8 8 0 0
T7 8 8 0 0
T13 8 8 0 0
T14 8 8 0 0
T15 8 8 0 0
T18 8 8 0 0
T19 8 8 0 0
T20 8 8 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 169077410 0 0
T2 1951 0 0 0
T3 10146 400 0 0
T7 12738 18 0 0
T8 883 256 0 0
T13 71130 0 0 0
T14 18738 0 0 0
T15 10245 0 0 0
T18 8838 0 0 0
T19 1457733 162792 0 0
T20 211074 0 0 0
T25 1609 0 0 0
T26 218804 8450 0 0
T27 0 768 0 0
T30 0 7000 0 0
T32 911208 51500 0 0
T41 58171 0 0 0
T42 80228 3650 0 0
T58 1926 0 0 0
T65 3870 0 0 0
T66 10860 0 0 0
T71 248442 10200 0 0
T72 1823 0 0 0
T73 93389 3050 0 0
T91 0 200 0 0
T134 0 506 0 0
T135 0 655360 0 0
T136 0 655360 0 0
T137 0 524288 0 0
T138 0 12800 0 0
T139 0 720896 0 0
T140 0 524288 0 0
T141 0 458752 0 0
T142 0 655360 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T1 T2 T13  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T2 T14 T20  66 1/1 if (wmask[i]) begin Tests: T2 T14 T20  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T2 T14 T20  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T1 T2 T13  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T2,T14,T20
1 0 Covered T1,T2,T13
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 59061636 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 59061636 0 0
T2 1951 506 0 0
T3 3382 0 0 0
T7 4246 0 0 0
T13 23710 0 0 0
T14 6246 1650 0 0
T15 3415 1112 0 0
T18 2946 0 0 0
T19 485911 0 0 0
T20 70358 67654 0 0
T32 0 3898 0 0
T42 0 32200 0 0
T48 0 22540 0 0
T49 0 8937 0 0
T66 3620 0 0 0
T71 0 49450 0 0
T73 0 23800 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T1 T2 T3  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T3 T19 T7  66 1/1 if (wmask[i]) begin Tests: T3 T19 T7  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T3 T19 T7  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T1 T2 T3  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T3,T19,T7
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 17140847 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 17140847 0 0
T3 3382 200 0 0
T7 4246 18 0 0
T8 0 256 0 0
T13 23710 0 0 0
T14 6246 0 0 0
T15 3415 0 0 0
T18 2946 0 0 0
T19 485911 162792 0 0
T20 70358 0 0 0
T26 0 8350 0 0
T27 0 512 0 0
T32 0 51200 0 0
T42 0 3600 0 0
T65 1935 0 0 0
T66 3620 0 0 0
T71 0 10200 0 0
T73 0 3050 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T3 T32 T134  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T32 T134 T12  66 1/1 if (wmask[i]) begin Tests: T32 T134 T12  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T32 T134 T12  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T3 T32 T134  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T32,T134,T12
1 0 Covered T3,T32,T134
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 7484710 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 7484710 0 0
T8 883 0 0 0
T25 1609 0 0 0
T26 218804 0 0 0
T32 911208 300 0 0
T41 58171 0 0 0
T42 80228 0 0 0
T58 1926 0 0 0
T71 248442 0 0 0
T72 1823 0 0 0
T73 93389 0 0 0
T134 0 506 0 0
T135 0 655360 0 0
T136 0 655360 0 0
T137 0 524288 0 0
T138 0 12800 0 0
T139 0 720896 0 0
T140 0 524288 0 0
T141 0 458752 0 0
T142 0 655360 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T3 T52 T42  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T3 T42 T26  66 1/1 if (wmask[i]) begin Tests: T3 T42 T26  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T3 T42 T26  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T3 T52 T42  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T3,T42,T26
1 0 Covered T3,T52,T42
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 7642900 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 7642900 0 0
T3 3382 200 0 0
T7 4246 0 0 0
T13 23710 0 0 0
T14 6246 0 0 0
T15 3415 0 0 0
T18 2946 0 0 0
T19 485911 0 0 0
T20 70358 0 0 0
T26 0 100 0 0
T27 0 256 0 0
T28 0 50 0 0
T30 0 7000 0 0
T31 0 1000 0 0
T42 0 50 0 0
T65 1935 0 0 0
T66 3620 0 0 0
T91 0 200 0 0
T143 0 200 0 0
T144 0 400 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T3 T13 T14  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T14 T18 T15  66 1/1 if (wmask[i]) begin Tests: T14 T18 T15  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T14 T18 T15  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T3 T13 T14  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T14,T18,T15
1 0 Covered T3,T13,T14
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 64917343 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 64917343 0 0
T7 4246 0 0 0
T14 6246 1300 0 0
T15 3415 300 0 0
T18 2946 400 0 0
T19 485911 0 0 0
T20 70358 0 0 0
T32 0 529504 0 0
T42 0 16550 0 0
T48 98505 32748 0 0
T49 82218 7787 0 0
T65 1935 0 0 0
T66 3620 1950 0 0
T71 0 127150 0 0
T73 0 37600 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T48 T32 T76  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T48 T32 T145  66 1/1 if (wmask[i]) begin Tests: T48 T32 T145  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T48 T32 T145  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T48 T32 T76  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T48,T32,T145
1 0 Covered T48,T32,T76
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 5195076 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 5195076 0 0
T32 911208 626688 0 0
T41 58171 0 0 0
T42 80228 0 0 0
T48 98505 1162 0 0
T49 82218 0 0 0
T50 0 615424 0 0
T52 56734 0 0 0
T71 248442 0 0 0
T72 1823 0 0 0
T73 93389 0 0 0
T104 2132 0 0 0
T145 0 512 0 0
T146 0 51200 0 0
T147 0 556 0 0
T148 0 250 0 0
T149 0 512 0 0
T150 0 1050 0 0
T151 0 331 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T32 T134 T50  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T32 T134 T50  66 1/1 if (wmask[i]) begin Tests: T32 T134 T50  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T32 T134 T50  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T134 T150 T152  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T32,T134,T50
1 0 Covered T134,T150,T152
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 3787965 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 3787965 0 0
T8 883 0 0 0
T25 1609 0 0 0
T26 218804 0 0 0
T32 911208 524288 0 0
T41 58171 0 0 0
T42 80228 0 0 0
T50 0 589824 0 0
T58 1926 0 0 0
T71 248442 0 0 0
T72 1823 0 0 0
T73 93389 0 0 0
T134 0 556 0 0
T135 0 524288 0 0
T137 0 524288 0 0
T152 0 12800 0 0
T153 0 196608 0 0
T154 0 12800 0 0
T155 0 65587 0 0
T156 0 12800 0 0

Line Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL7685.71
CONT_ASSIGN42100.00
CONT_ASSIGN5200
ALWAYS6366100.00

41 logic unused_cfg; 42 0/1 ==> assign unused_cfg = ^cfg_i; 43 44 // Width of internal write mask. Note wmask_i input into the module is always assumed 45 // to be the full bit mask 46 localparam int MaskWidth = Width / DataBitsPerMask; 47 48 logic [Width-1:0] mem [Depth]; 49 logic [MaskWidth-1:0] wmask; 50 51 for (genvar k = 0; k < MaskWidth; k++) begin : gen_wmask 52 unreachable assign wmask[k] = &wmask_i[k*DataBitsPerMask +: DataBitsPerMask]; 53 54 // Ensure that all mask bits within a group have the same value for a write 55 `ASSERT(MaskCheck_A, req_i && write_i |-> 56 wmask_i[k*DataBitsPerMask +: DataBitsPerMask] inside {{DataBitsPerMask{1'b1}}, '0}, 57 clk_i, '0) 58 end 59 60 // using always instead of always_ff to avoid 'ICPD - illegal combination of drivers' error 61 // thrown when using $readmemh system task to backdoor load an image 62 always @(posedge clk_i) begin 63 1/1 if (req_i) begin Tests: T1 T2 T3  64 1/1 if (write_i) begin Tests: T32 T27 T50  65 1/1 for (int i=0; i < MaskWidth; i = i + 1) begin Tests: T32 T50 T150  66 1/1 if (wmask[i]) begin Tests: T32 T50 T150  67 1/1 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= Tests: T32 T50 T150  68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end ==> MISSING_ELSE 70 end 71 end else begin 72 1/1 rdata_o <= mem[addr_i]; Tests: T27 T157 T150  73 end 74 end MISSING_ELSE

Branch Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
Branches 3 3 100.00
IF 63 3 3 100.00


63 if (req_i) begin -1- 64 if (write_i) begin -2- 65 for (int i=0; i < MaskWidth; i = i + 1) begin ==> 66 if (wmask[i]) begin 67 mem[addr_i][i*DataBitsPerMask +: DataBitsPerMask] <= 68 wdata_i[i*DataBitsPerMask +: DataBitsPerMask]; 69 end 70 end 71 end else begin 72 rdata_o <= mem[addr_i]; ==> 73 end 74 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 1 Covered T32,T50,T150
1 0 Covered T27,T157,T150
0 - Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.u_flash.gen_generic.u_impl_generic.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataBitsPerMaskCheck_A 1042 1042 0 0
gen_wmask[0].MaskCheck_A 384864419 3846933 0 0


DataBitsPerMaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1042 1042 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

gen_wmask[0].MaskCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 384864419 3846933 0 0
T8 883 0 0 0
T25 1609 0 0 0
T26 218804 0 0 0
T32 911208 524288 0 0
T41 58171 0 0 0
T42 80228 0 0 0
T44 0 50 0 0
T45 0 50 0 0
T50 0 589824 0 0
T58 1926 0 0 0
T71 248442 0 0 0
T72 1823 0 0 0
T73 93389 0 0 0
T135 0 524288 0 0
T150 0 300 0 0
T152 0 25600 0 0
T153 0 197914 0 0
T154 0 25600 0 0
T155 0 65587 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%