Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : edn
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_edn_0.1/rtl/edn.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 83.59 83.33 100.00 67.44



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.59 83.33 100.00 67.44


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.13 98.70 91.81 96.79 89.24 97.62 96.60


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
edn_csr_assert 100.00 100.00
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_edn_core 91.18 99.92 89.15 70.79 89.24 99.08 98.91
u_edn_cov_if 25.00 50.00 0.00
u_reg 97.81 96.00 98.29 100.00 94.76 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Cond Coverage for Module : edn
TotalCoveredPercent
Conditions6583.33
Logical6583.33
Non-Logical00
Event00

 LINE       98
 EXPRESSION (alert[0] || intg_err_alert[0])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT17,T18,T19

 LINE       98
 EXPRESSION (alert[1] || intg_err_alert[1])
             ----1---    --------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT20,T21,T22
10CoveredT5,T7,T23

Toggle Coverage for Module : edn
TotalCoveredPercent
Totals 69 69 100.00
Total Bits 1168 1168 100.00
Total Bits 0->1 584 584 100.00
Total Bits 1->0 584 584 100.00

Ports 69 69 100.00
Port Bits 1168 1168 100.00
Port Bits 0->1 584 584 100.00
Port Bits 1->0 584 584 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
rst_ni Yes Yes T24,T26,T27 Yes T24,T25,T26 INPUT
tl_i.d_ready Yes Yes T24,T26,T27 Yes T24,T25,T26 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T24,T26,T28 Yes T24,T26,T28 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_mask[3:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_address[31:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_source[7:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_size[1:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_i.a_valid Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
tl_o.a_ready Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
tl_o.d_error Yes Yes T26,T29,T30 Yes T26,T29,T30 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T24,T26,T27 Yes T24,T26,T27 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T24,*T25,T26 Yes T24,T25,T26 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
tl_o.d_size[1:0] Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T24,*T25,*T26 Yes T24,T25,T26 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
edn_i[0].edn_req Yes Yes T2,T3,T31 Yes T2,T3,T31 INPUT
edn_i[1].edn_req Yes Yes T6,T32,T33 Yes T6,T32,T33 INPUT
edn_i[2].edn_req Yes Yes T17,T32,T34 Yes T17,T32,T34 INPUT
edn_i[3].edn_req Yes Yes T33,T34,T35 Yes T33,T34,T35 INPUT
edn_i[4].edn_req Yes Yes T18,T5,T36 Yes T18,T5,T36 INPUT
edn_i[5].edn_req Yes Yes T33,T37,T35 Yes T33,T37,T35 INPUT
edn_i[6].edn_req Yes Yes T33,T37,T38 Yes T33,T37,T38 INPUT
edn_o[0].edn_bus[31:0] Yes Yes T2,T3,T31 Yes T2,T3,T31 OUTPUT
edn_o[0].edn_fips Yes Yes T3,T32,T4 Yes T2,T3,T31 OUTPUT
edn_o[0].edn_ack Yes Yes T2,T3,T31 Yes T2,T3,T31 OUTPUT
edn_o[1].edn_bus[31:0] Yes Yes T6,T32,T33 Yes T6,T32,T33 OUTPUT
edn_o[1].edn_fips Yes Yes T33,T39,T23 Yes T32,T33,T38 OUTPUT
edn_o[1].edn_ack Yes Yes T6,T32,T33 Yes T6,T32,T33 OUTPUT
edn_o[2].edn_bus[31:0] Yes Yes T17,T32,T34 Yes T17,T32,T34 OUTPUT
edn_o[2].edn_fips Yes Yes T32,T34,T37 Yes T17,T32,T34 OUTPUT
edn_o[2].edn_ack Yes Yes T17,T32,T34 Yes T17,T32,T34 OUTPUT
edn_o[3].edn_bus[31:0] Yes Yes T33,T34,T35 Yes T33,T34,T35 OUTPUT
edn_o[3].edn_fips Yes Yes T34,T40,T41 Yes T34,T35,T40 OUTPUT
edn_o[3].edn_ack Yes Yes T33,T34,T35 Yes T33,T34,T35 OUTPUT
edn_o[4].edn_bus[31:0] Yes Yes T36,T42,T43 Yes T18,T36,T42 OUTPUT
edn_o[4].edn_fips Yes Yes T42,T43,T44 Yes T42,T43,T45 OUTPUT
edn_o[4].edn_ack Yes Yes T18,T5,T36 Yes T18,T5,T36 OUTPUT
edn_o[5].edn_bus[31:0] Yes Yes T33,T37,T42 Yes T33,T37,T35 OUTPUT
edn_o[5].edn_fips Yes Yes T37,T46,T47 Yes T33,T37,T35 OUTPUT
edn_o[5].edn_ack Yes Yes T33,T37,T35 Yes T33,T37,T35 OUTPUT
edn_o[6].edn_bus[31:0] Yes Yes T33,T37,T38 Yes T33,T37,T38 OUTPUT
edn_o[6].edn_fips Yes Yes T37,T43,T46 Yes T33,T37,T48 OUTPUT
edn_o[6].edn_ack Yes Yes T33,T37,T38 Yes T33,T37,T38 OUTPUT
csrng_cmd_o.genbits_ready Yes Yes T2,T3,T6 Yes T2,T3,T6 OUTPUT
csrng_cmd_o.csrng_req_bus[31:0] Yes Yes T2,T3,T6 Yes T2,T3,T6 OUTPUT
csrng_cmd_o.csrng_req_valid Yes Yes T2,T3,T6 Yes T2,T3,T6 OUTPUT
csrng_cmd_i.genbits_bus[127:0] Yes Yes T2,T3,T32 Yes T3,T32,T4 INPUT
csrng_cmd_i.genbits_fips Yes Yes T3,T32,T4 Yes T2,T3,T32 INPUT
csrng_cmd_i.genbits_valid Yes Yes T2,T3,T6 Yes T2,T3,T6 INPUT
csrng_cmd_i.csrng_rsp_sts Yes Yes T2,T3,T6 Yes T2,T3,T6 INPUT
csrng_cmd_i.csrng_rsp_ack Yes Yes T2,T3,T6 Yes T2,T3,T6 INPUT
csrng_cmd_i.csrng_req_ready Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
alert_rx_i[0].ack_n Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
alert_rx_i[0].ack_p Yes Yes T24,T26,T27 Yes T24,T26,T27 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T24,T25,T26 Yes T24,T25,T26 INPUT
alert_rx_i[1].ack_p Yes Yes T24,T26,T27 Yes T24,T26,T27 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
alert_tx_o[0].alert_p Yes Yes T24,T26,T27 Yes T24,T26,T27 OUTPUT
alert_tx_o[1].alert_n Yes Yes T24,T25,T26 Yes T24,T25,T26 OUTPUT
alert_tx_o[1].alert_p Yes Yes T24,T26,T27 Yes T24,T26,T27 OUTPUT
intr_edn_cmd_req_done_o Yes Yes T28,T49,T50 Yes T28,T49,T50 OUTPUT
intr_edn_fatal_err_o Yes Yes T27,T28,T51 Yes T27,T28,T51 OUTPUT

*Tests covering at least one bit in the range

Assert Coverage for Module : edn
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 43 43 100.00 29 67.44
Cover properties 0 0 0
Cover sequences 0 0 0
Total 43 43 100.00 29 67.44




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxKnownO_A 196715697 196563343 0 0
CsrngAppIfOut_A 196715697 196563343 0 0
FpvSecCmCntAlertCheck_A 196715697 121 0 0
FpvSecCmMainFsmCheck_A 196715697 80 0 0
FpvSecCmRegWeOnehotCheck_A 196715697 80 0 0
IntrEdnCmdReqDoneKnownO_A 196715697 196563343 0 0
TlAReadyKnownO_A 196715697 196563343 0 0
TlDValidKnownO_A 196715697 196563343 0 0
gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A 196715697 80 0 0
gen_edn_if_asserts[0].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[0].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[0].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A 196715697 121973 0 0
gen_edn_if_asserts[1].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[1].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[1].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A 196715697 121973 0 0
gen_edn_if_asserts[2].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[2].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[2].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A 196715697 121973 0 0
gen_edn_if_asserts[3].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[3].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[3].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A 196715697 121973 0 0
gen_edn_if_asserts[4].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[4].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[4].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A 196715697 121973 0 0
gen_edn_if_asserts[5].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[5].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[5].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A 196715697 121973 0 0
gen_edn_if_asserts[6].EdnDataStableDisable_A 196715697 0 0 0
gen_edn_if_asserts[6].EdnDataStable_A 196715697 0 0 0
gen_edn_if_asserts[6].EdnEndPointOut_A 196715697 196563343 0 0
gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A 196715697 121973 0 0


AlertTxKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

CsrngAppIfOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

FpvSecCmCntAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121 0 0
T7 2258 1 0 0
T15 0 1 0 0
T16 0 1 0 0
T20 0 20 0 0
T23 856 0 0 0
T42 5646 0 0 0
T53 0 1 0 0
T54 0 1 0 0
T55 0 1 0 0
T56 0 1 0 0
T57 0 1 0 0
T58 0 1 0 0
T59 1195 0 0 0
T60 1703 0 0 0
T61 964 0 0 0
T62 3049 0 0 0
T63 1572 0 0 0
T64 15862 0 0 0
T65 1404 0 0 0

FpvSecCmMainFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

IntrEdnCmdReqDoneKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

TlAReadyKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

TlDValidKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_fsm_asserts[0].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_fsm_asserts[1].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_fsm_asserts[2].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_fsm_asserts[3].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_fsm_asserts[4].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_fsm_asserts[5].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_fsm_asserts[6].FpvSecCmAckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 80 0 0
T20 30546 20 0 0
T21 15720 10 0 0
T22 31269 20 0 0
T66 0 20 0 0
T67 0 10 0 0
T68 1197 0 0 0
T69 1839 0 0 0
T70 2183 0 0 0
T71 9242 0 0 0
T72 2235 0 0 0
T73 937 0 0 0
T74 1991 0 0 0

gen_edn_if_asserts[0].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[0].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[0].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[0].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

gen_edn_if_asserts[1].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[1].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[1].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[1].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

gen_edn_if_asserts[2].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[2].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[2].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[2].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

gen_edn_if_asserts[3].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[3].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[3].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[3].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

gen_edn_if_asserts[4].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[4].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[4].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[4].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

gen_edn_if_asserts[5].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[5].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[5].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[5].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

gen_edn_if_asserts[6].EdnDataStableDisable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[6].EdnDataStable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 0 0 0

gen_edn_if_asserts[6].EdnEndPointOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 196563343 0 0
T1 1313 1222 0 0
T2 15243 14737 0 0
T3 6616 6524 0 0
T4 470499 470486 0 0
T6 1628 1577 0 0
T17 1397 1343 0 0
T31 1049 961 0 0
T32 1557 1493 0 0
T33 2391 2300 0 0
T52 1367 1315 0 0

gen_edn_if_asserts[6].EdnFatalAlertNoRsp_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 196715697 121973 0 0
T5 1138 17 0 0
T7 2258 604 0 0
T8 0 374 0 0
T9 0 385 0 0
T19 1339 0 0 0
T23 0 17 0 0
T36 1177 0 0 0
T39 1393 0 0 0
T59 1195 0 0 0
T75 0 511 0 0
T76 0 245 0 0
T77 0 1112 0 0
T78 0 390 0 0
T79 0 400 0 0
T80 1827 0 0 0
T81 1245 0 0 0
T82 1928 0 0 0
T83 14423 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%