Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : kmac
SCORELINECONDTOGGLEFSMBRANCHASSERT
91.19 96.27 93.33 63.67 100.00 93.85 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 97.24 96.27 93.33 100.00 100.00 93.85 100.00



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.24 96.27 93.33 100.00 100.00 93.85 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.50 96.18 92.44 100.00 90.91 94.60 98.84


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_prim_alert_sender 100.00 100.00
gen_alert_tx[1].u_prim_alert_sender 100.00 100.00
intr_fifo_empty 86.94 90.00 77.78 80.00 100.00
intr_kmac_done 93.75 100.00 75.00 100.00 100.00
intr_kmac_err 93.75 100.00 75.00 100.00 100.00
kmac_csr_assert 100.00 100.00
sha3pad_assert_cov_if 100.00 100.00
tlul_assert_device 100.00 100.00 100.00 100.00
u_app_intf 94.18 94.07 89.80 94.12 92.94 100.00
u_errchk 97.99 97.14 96.67 100.00 96.15 100.00
u_kmac_core 95.80 98.75 92.86 100.00 100.00 92.31 90.91
u_msgfifo 97.75 100.00 95.00 100.00 93.75 100.00
u_prim_lc_sync 100.00 100.00 100.00 100.00
u_reg 98.98 99.21 96.90 100.00 98.78 100.00
u_sha3 92.16 91.91 88.51 100.00 80.56 92.00 100.00
u_sha3_done_sender 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00
u_staterd 89.93 89.80 81.39 88.54 100.00
u_tlul_adapter_msgfifo 79.91 87.01 74.59 77.38 80.65

Line Coverage for Module : kmac
Line No.TotalCoveredPercent
TOTAL16115596.27
ALWAYS34300
ALWAYS34322100.00
ALWAYS349100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN42311100.00
ALWAYS42699100.00
CONT_ASSIGN46111100.00
CONT_ASSIGN46211100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47011100.00
CONT_ASSIGN47111100.00
CONT_ASSIGN47511100.00
CONT_ASSIGN47811100.00
ALWAYS48566100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51511100.00
CONT_ASSIGN52211100.00
CONT_ASSIGN52511100.00
CONT_ASSIGN52611100.00
CONT_ASSIGN52711100.00
CONT_ASSIGN52911100.00
CONT_ASSIGN53011100.00
CONT_ASSIGN53211100.00
CONT_ASSIGN53400
CONT_ASSIGN53611100.00
CONT_ASSIGN54011100.00
CONT_ASSIGN54211100.00
CONT_ASSIGN54311100.00
CONT_ASSIGN54611100.00
CONT_ASSIGN54711100.00
CONT_ASSIGN55011100.00
ALWAYS55855100.00
CONT_ASSIGN56811100.00
CONT_ASSIGN57511100.00
CONT_ASSIGN57611100.00
CONT_ASSIGN57711100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN62711100.00
CONT_ASSIGN63311100.00
CONT_ASSIGN64111100.00
CONT_ASSIGN64611100.00
ALWAYS64955100.00
CONT_ASSIGN67811100.00
CONT_ASSIGN68311100.00
ALWAYS6867571.43
CONT_ASSIGN72211100.00
CONT_ASSIGN727100.00
CONT_ASSIGN73411100.00
CONT_ASSIGN74411100.00
ALWAYS76433100.00
ALWAYS7682828100.00
CONT_ASSIGN91811100.00
CONT_ASSIGN92111100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN102311100.00
CONT_ASSIGN102811100.00
CONT_ASSIGN102911100.00
CONT_ASSIGN103111100.00
CONT_ASSIGN103400
ALWAYS115200
ALWAYS115222100.00
CONT_ASSIGN1305100.00
CONT_ASSIGN130611100.00
CONT_ASSIGN130711100.00
CONT_ASSIGN131711100.00
CONT_ASSIGN131811100.00
CONT_ASSIGN132411100.00
CONT_ASSIGN132511100.00
CONT_ASSIGN132611100.00
CONT_ASSIGN132711100.00
CONT_ASSIGN133011100.00
CONT_ASSIGN133911100.00
CONT_ASSIGN138111100.00
CONT_ASSIGN139511100.00
CONT_ASSIGN140211100.00
CONT_ASSIGN140711100.00
ALWAYS14136583.33
CONT_ASSIGN142211100.00
CONT_ASSIGN142411100.00
ALWAYS143644100.00
CONT_ASSIGN144211100.00
ALWAYS146544100.00
ALWAYS147533100.00
CONT_ASSIGN148611100.00
CONT_ASSIGN149011100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
343 1 1
344 1 1
349 0 1
418 1 1
419 1 1
423 1 1
426 1 1
427 1 1
428 1 1
429 1 1
431 1 1
433 1 1
437 1 1
441 1 1
445 1 1
461 1 1
462 1 1
463 1 1
466 1 1
470 1 1
471 1 1
475 1 1
478 1 1
485 1 1
486 1 1
487 1 1
488 1 1
489 1 1
490 1 1
MISSING_ELSE
MISSING_ELSE
510 1 1
515 1 1
522 1 1
525 1 1
526 1 1
527 1 1
529 1 1
530 1 1
532 1 1
534 unreachable
536 1 1
540 1 1
542 1 1
543 1 1
546 1 1
547 1 1
550 1 1
558 1 1
559 1 1
560 1 1
561 1 1
563 1 1
568 1 1
575 1 1
576 1 1
577 1 1
585 1 1
627 1 1
633 1 1
641 1 1
646 1 1
649 1 1
650 1 1
651 1 1
653 1 1
654 1 1
678 1 1
683 1 1
686 1 1
688 1 1
693 1 1
697 1 1
701 1 1
705 0 1
709 0 1
722 1 1
727 0 1
734 1 1
744 1 1
764 3 3
768 1 1
770 1 1
771 1 1
773 1 1
775 1 1
777 1 1
778 1 1
781 1 1
784 1 1
790 1 1
791 1 1
793 1 1
798 1 1
799 1 1
800 1 1
802 1 1
808 1 1
813 1 1
814 1 1
816 1 1
818 1 1
824 1 1
825 1 1
827 1 1
833 1 1
834 1 1
846 1 1
847 1 1
MISSING_ELSE
918 1 1
921 1 1
990 1 1
992 1 1
1023 1 1
1028 1 1
1029 1 1
1031 1 1
1034 unreachable
1152 1 1
1153 1 1
1305 0 1
1306 1 1
1307 1 1
1317 1 1
1318 1 1
1324 1 1
1325 1 1
1326 1 1
1327 1 1
1330 1 1
1339 1 1
1381 1 1
1395 1 1
1402 1 1
1407 1 1
1413 1 1
1414 1 1
1415 1 1
1416 0 1
1417 1 1
1418 1 1
MISSING_ELSE
1422 1 1
1424 1 1
1436 1 1
1437 1 1
1438 1 1
1439 1 1
MISSING_ELSE
1442 1 1
1465 1 1
1466 1 1
1467 1 1
1469 1 1
MISSING_ELSE
1475 1 1
1476 1 1
1479 1 1
1486 1 1
1490 1 1
1492 6 6


Cond Coverage for Module : kmac
TotalCoveredPercent
Conditions908493.33
Logical908493.33
Non-Logical00
Event00

 LINE       423
 EXPRESSION (cmd_update ? cmd_q : CmdNone)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       461
 EXPRESSION (sha3_fsm == StIdle)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       462
 EXPRESSION (sha3_fsm == StAbsorb)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sha3_fsm == StSqueeze)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       475
 EXPRESSION (sha3_fsm == StIdle)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       527
 EXPRESSION (reg2hw.cmd.entropy_req.q && reg2hw.cmd.entropy_req.qe)
             ------------1-----------    ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT17,T4,T31

 LINE       536
 EXPRESSION (reg2hw.cmd.hash_cnt_clr.qe && reg2hw.cmd.hash_cnt_clr.q)
             -------------1------------    ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT17,T31,T27

 LINE       540
 EXPRESSION (reg2hw.cfg_shadowed.entropy_ready.q & reg2hw.cfg_shadowed.entropy_ready.qe)
             -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT19,T20,T51
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       547
 EXPRESSION (cfg_msg_mask & msg_valid & msg_ready)
             ------1-----   ----2----   ----3----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT1,T3,T13
111CoveredT1,T2,T3

 LINE       560
 EXPRESSION ((sha3_fsm == StIdle) && (msgfifo_empty || SecIdleAcceptSwMsg))
             ----------1---------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       560
 SUB-EXPRESSION (sha3_fsm == StIdle)
                ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       560
 SUB-EXPRESSION (msgfifo_empty || SecIdleAcceptSwMsg)
                 ------1------    ---------2--------
-1--2-StatusTests
0-CoveredT1,T2,T3
1-CoveredT1,T2,T3

 LINE       568
 EXPRESSION (reg2hw.cfg_shadowed.err_processed.q & reg2hw.cfg_shadowed.err_processed.qe)
             -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT19,T20,T21
11CoveredT19,T20,T21

 LINE       627
 EXPRESSION (msgfifo_empty_q & ((~msgfifo_empty)))
             -------1-------   ---------2--------
-1--2-StatusTests
01CoveredT1,T3,T12
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       633
 EXPRESSION (msgfifo_full ? 1'b1 : (msgfifo_empty_negedge ? 1'b0 : (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q)))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T22,T32

 LINE       633
 SUB-EXPRESSION (msgfifo_empty_negedge ? 1'b0 : (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q))
                 ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       633
 SUB-EXPRESSION (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q)
                 ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       641
 EXPRESSION (app_active ? 1'b1 : ((sha3_fsm != StAbsorb) ? 1'b1 : (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q)))))
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT16,T17,T22

 LINE       641
 SUB-EXPRESSION ((sha3_fsm != StAbsorb) ? 1'b1 : (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q))))
                 -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       641
 SUB-EXPRESSION (sha3_fsm != StAbsorb)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       641
 SUB-EXPRESSION (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q)))
                 ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       646
 EXPRESSION (msgfifo_empty_gate ? 1'b0 : msgfifo_empty)
             ---------1--------
-1-StatusTests
0CoveredT1,T48,T49
1CoveredT1,T2,T3

 LINE       678
 EXPRESSION (sha3_err.valid | app_err.valid | entropy_err.valid | errchecker_err.valid)
             -------1------   ------2------   --------3--------   ----------4---------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT22,T32,T33
0010Not Covered
0100CoveredT22,T32,T19
1000CoveredT26,T27,T28

 LINE       722
 EXPRESSION (sha3_count_error | kmac_entropy_hash_counter_error | key_index_error | msgfifo_counter_error)
             --------1-------   ---------------2---------------   -------3-------   ----------4----------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Not Covered
0010CoveredT4,T10,T11
0100Unreachable
1000CoveredT4,T10,T11

 LINE       734
 EXPRESSION (sha3_state_error | kmac_errchk_state_error | kmac_core_state_error | kmac_app_state_error | kmac_entropy_state_error | kmac_state_error)
             --------1-------   -----------2-----------   ----------3----------   ----------4---------   ------------5-----------   --------6-------
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T2,T3
000001CoveredT4,T10,T11
000010Unreachable
000100CoveredT4,T10,T11
001000CoveredT4,T10,T11
010000CoveredT4,T10,T11
100000CoveredT4,T10,T11

 LINE       775
 EXPRESSION (kmac_cmd == CmdStart)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       777
 EXPRESSION (CShake == app_sha3_mode)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T15

 LINE       791
 EXPRESSION (app_kmac_en ? KmacKeyBlock : KmacMsgFeed)
             -----1-----
-1-StatusTests
0CoveredT16,T17,T22
1CoveredT1,T3,T15

 LINE       1023
 EXPRESSION (tlram_req & tlram_we)
             ----1----   ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       1153
 EXPRESSION (reg_state_valid ? reg_state[i] : 'b0)
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1395
 SUB-EXPRESSION (reg2hw.alert_test.fatal_fault_err.q & reg2hw.alert_test.fatal_fault_err.qe)
                 -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT52,T53,T54
10CoveredT1,T2,T3
11CoveredT52,T53,T54

 LINE       1395
 SUB-EXPRESSION (reg2hw.alert_test.recov_operation_err.q & reg2hw.alert_test.recov_operation_err.qe)
                 -------------------1-------------------   --------------------2-------------------
-1--2-StatusTests
01CoveredT52,T53,T54
10CoveredT1,T2,T3
11CoveredT52,T53,T54

 LINE       1424
 EXPRESSION (shadowed_storage_err | alert_intg_err | sparse_fsm_error | counter_error | control_integrity_error)
             ----------1---------   -------2------   --------3-------   ------4------   -----------5-----------
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001Not Covered
00010CoveredT4,T10,T11
00100CoveredT4,T5,T6
01000CoveredT4,T10,T11
10000Not Covered

Toggle Coverage for Module : kmac
TotalCoveredPercent
Totals 71 64 90.14
Total Bits 6534 4160 63.67
Total Bits 0->1 3267 2080 63.67
Total Bits 1->0 3267 2080 63.67

Ports 71 64 90.14
Port Bits 6534 4160 63.67
Port Bits 0->1 3267 2080 63.67
Port Bits 1->0 3267 2080 63.67

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T4,T55,T5 Yes T1,T2,T3 INPUT
rst_shadowed_ni Yes Yes T4,T55,T5 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T4,T55,T5 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T2,T3,T12 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T14,T18,T41 Yes T14,T18,T41 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T3,T13 Yes T1,T3,T13 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T49,T56,T57 Yes T49,T56,T57 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T52,T53,T54 Yes T52,T53,T54 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T52,T4,T53 Yes T52,T4,T53 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T52,T53,T54 Yes T52,T53,T54 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T52,T4,T53 Yes T52,T4,T53 OUTPUT
keymgr_key_i.key[0][1:0] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][2] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][3] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][4] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][5] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][6] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][7] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][8] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][9] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][10] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][11] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][12] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][13] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][14] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][15] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][18:16] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][20:19] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][21] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][22] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][23] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][26:24] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][28:27] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][29] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][32:30] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][33] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][35:34] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][36] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][37] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][38] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][40:39] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][41] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][42] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][43] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][44] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][45] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][46] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][47] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][48] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][49] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][50] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][51] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][57:52] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][62:58] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][63] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][64] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][65] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][67:66] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][68] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][69] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][70] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][71] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][72] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][73] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][74] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][75] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][82:76] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][83] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][84] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][87:85] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][89:88] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][90] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][91] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][93:92] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][94] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][95] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][96] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][98:97] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][99] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][100] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][102:101] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][103] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][104] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][107:105] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][108] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][111:109] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][112] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][113] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][114] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][115] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][117:116] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][118] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][119] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][120] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][123:121] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][124] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][125] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][126] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][127] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][128] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][129] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][130] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][131] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][132] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][134:133] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][139:135] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][140] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][142:141] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][143] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][144] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][145] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][147:146] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][149:148] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][151:150] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][152] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][153] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][155:154] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][156] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][157] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][158] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][159] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][162:160] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][163] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][164] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][165] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][166] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][167] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][168] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][169] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][172:170] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][173] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][175:174] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][176] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][180:177] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][181] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][184:182] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][185] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][186] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][188:187] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][189] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][191:190] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][192] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][196:193] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][197] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][198] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][200:199] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][202:201] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][203] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][204] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][205] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][207:206] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][208] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][210:209] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][211] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][216:212] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][217] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][218] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][221:219] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][223:222] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][224] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][225] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][226] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][227] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][228] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][229] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][230] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][231] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][233:232] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][234] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][236:235] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][237] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][238] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][239] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][240] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][241] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][242] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][243] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][244] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][245] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][246] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][247] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][251:248] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][252] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][253] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][254] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][255] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][0] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][1] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][2] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][3] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][7:4] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][8] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][10:9] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][11] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][13:12] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][14] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][15] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][17:16] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][18] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][19] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][20] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][21] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][23:22] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][28:24] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][30:29] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][32:31] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][33] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][34] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][35] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][37:36] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][41:38] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][43:42] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][44] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][46:45] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][47] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][48] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][49] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][50] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][52:51] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][53] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][54] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][55] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][56] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][57] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][61:58] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][62] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][63] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][64] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][65] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][66] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][67] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][69:68] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][71:70] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][72] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][73] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][74] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][75] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][78:76] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][79] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][80] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][81] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][83:82] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][84] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][85] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][86] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][88:87] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][89] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][91:90] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][94:92] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][96:95] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][97] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][98] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][101:99] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][103:102] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][105:104] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][106] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][111:107] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][113:112] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][114] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][115] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][116] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][117] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][118] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][121:119] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][123:122] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][124] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][125] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][128:126] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][129] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][130] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][131] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][132] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][133] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][139:134] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][140] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][141] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][142] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][143] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][144] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][146:145] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][147] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][150:148] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][151] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][155:152] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][157:156] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][158] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][159] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][161:160] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][162] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][164:163] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][165] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][166] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][167] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][168] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][169] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][170] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][171] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][172] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][173] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][174] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][175] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][176] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][178:177] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][179] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][180] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][181] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][182] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][183] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][186:184] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][187] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][188] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][189] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][190] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][191] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][192] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][194:193] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][195] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][197:196] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][201:198] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][202] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][204:203] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][205] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][206] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][209:207] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][210] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][211] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][212] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][214:213] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][215] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][216] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][217] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][219:218] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][221:220] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][222] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][225:223] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][226] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][227] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][229:228] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][230] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][232:231] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][233] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][234] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][235] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][237:236] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][238] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][239] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][240] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][242:241] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][243] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][244] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][245] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][246] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][248:247] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][250:249] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][251] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][252] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][253] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][254] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][255] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.valid Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
app_i[0].last Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[0].strb[7:0] Yes Yes T17,T31,T27 Yes T17,T31,T27 INPUT
app_i[0].data[63:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[0].valid Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[1].last Yes Yes T16,T17,T26 Yes T16,T17,T26 INPUT
app_i[1].strb[7:0] Yes Yes T17,T27,T58 Yes T17,T27,T58 INPUT
app_i[1].data[63:0] Yes Yes T16,T17,T26 Yes T16,T17,T26 INPUT
app_i[1].valid Yes Yes T16,T17,T26 Yes T16,T17,T26 INPUT
app_i[2].last Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[2].strb[7:0] Yes Yes T17,T31,T27 Yes T17,T31,T27 INPUT
app_i[2].data[63:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[2].valid Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_o[0].error Yes Yes T26,T4,T5 Yes T26,T4,T5 OUTPUT
app_o[0].digest_share1[383:0] No No No OUTPUT
app_o[0].digest_share0[383:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[0].done Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[0].ready Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[1].error Yes Yes T26,T27,T28 Yes T26,T27,T28 OUTPUT
app_o[1].digest_share1[383:0] No No No OUTPUT
app_o[1].digest_share0[383:0] Yes Yes T16,T17,T26 Yes T16,T17,T26 OUTPUT
app_o[1].done Yes Yes T16,T17,T26 Yes T16,T17,T26 OUTPUT
app_o[1].ready Yes Yes T16,T17,T26 Yes T16,T17,T26 OUTPUT
app_o[2].error Yes Yes T26,T27,T28 Yes T26,T27,T28 OUTPUT
app_o[2].digest_share1[383:0] No No No OUTPUT
app_o[2].digest_share0[383:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[2].done Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[2].ready Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
entropy_o.edn_req No No No OUTPUT
entropy_i.edn_bus[31:0] No No No INPUT
entropy_i.edn_fips No No No INPUT
entropy_i.edn_ack No No No INPUT
lc_escalate_en_i[3:0] Yes Yes T5,T6,T39 Yes T5,T6,T39 INPUT
intr_kmac_done_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_fifo_empty_o Yes Yes T1,T48,T49 Yes T1,T48,T49 OUTPUT
intr_kmac_err_o Yes Yes T22,T26,T32 Yes T22,T26,T32 OUTPUT
en_masking_o Unreachable Unreachable Unreachable OUTPUT
idle_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

FSM Coverage for Module : kmac
Summary for FSM :: kmac_st
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 13 13 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: kmac_st
statesLine No.CoveredTests
KmacDigest 816 Covered T1,T2,T3
KmacIdle 784 Covered T1,T2,T3
KmacKeyBlock 791 Covered T1,T3,T15
KmacMsgFeed 781 Covered T1,T2,T3
KmacPrefix 778 Covered T1,T3,T15
KmacTerminalError 833 Covered T4,T5,T6


transitionsLine No.CoveredTests
KmacDigest->KmacIdle 825 Covered T1,T2,T3
KmacDigest->KmacTerminalError 847 Covered T7,T59,T60
KmacIdle->KmacMsgFeed 781 Covered T1,T2,T3
KmacIdle->KmacPrefix 778 Covered T1,T3,T15
KmacIdle->KmacTerminalError 847 Covered T4,T5,T10
KmacKeyBlock->KmacMsgFeed 800 Covered T1,T3,T15
KmacKeyBlock->KmacTerminalError 847 Covered T34,T9,T61
KmacMsgFeed->KmacDigest 816 Covered T1,T2,T3
KmacMsgFeed->KmacIdle 813 Covered T16,T17,T22
KmacMsgFeed->KmacTerminalError 847 Covered T6,T39,T40
KmacPrefix->KmacKeyBlock 791 Covered T1,T3,T15
KmacPrefix->KmacMsgFeed 791 Covered T16,T17,T22
KmacPrefix->KmacTerminalError 847 Covered T36,T8,T62



Branch Coverage for Module : kmac
Line No.TotalCoveredPercent
Branches 65 61 93.85
TERNARY 423 2 2 100.00
TERNARY 633 4 4 100.00
TERNARY 641 4 4 100.00
TERNARY 646 2 2 100.00
CASE 431 6 5 83.33
IF 485 3 3 100.00
IF 558 3 3 100.00
IF 649 2 2 100.00
CASE 688 6 4 66.67
IF 764 2 2 100.00
CASE 773 15 15 100.00
IF 846 2 2 100.00
TERNARY 1153 2 2 100.00
IF 1413 4 3 75.00
IF 1436 3 3 100.00
IF 1465 3 3 100.00
IF 1475 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 423 (cmd_update) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 633 (msgfifo_full) ? -2-: 633 (msgfifo_empty_negedge) ? -3-: 633 (msgfifo2kmac_process) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T22,T32
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 641 (app_active) ? -2-: 641 ((sha3_fsm != StAbsorb)) ? -3-: 641 (msgfifo2kmac_process) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T16,T17,T22
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 646 (msgfifo_empty_gate) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T48,T49


LineNo. Expression -1-: 431 case (kmac_cmd)

Branches:
-1-StatusTests
CmdStart Covered T1,T2,T3
CmdProcess Covered T1,T2,T3
CmdManualRun Covered T1,T3,T15
CmdDone Covered T1,T2,T3
CmdNone Covered T1,T2,T3
default Not Covered


LineNo. Expression -1-: 485 if ((!rst_ni)) -2-: 487 if (engine_stable)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 558 if ((!rst_ni)) -2-: 560 if (((sha3_fsm == StIdle) && (msgfifo_empty || SecIdleAcceptSwMsg)))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 649 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 688 case (1'b1)

Branches:
-1-StatusTests
app_err.valid Covered T22,T32,T19
errchecker_err.valid Covered T22,T32,T33
sha3_err.valid Covered T26,T27,T28
entropy_err.valid Not Covered
msgfifo_err.valid Not Covered
default Covered T1,T2,T3


LineNo. Expression -1-: 764 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 773 case (kmac_st) -2-: 775 if ((kmac_cmd == CmdStart)) -3-: 777 if ((CShake == app_sha3_mode)) -4-: 790 if (sha3_block_processed) -5-: 791 (app_kmac_en) ? -6-: 799 if (sha3_block_processed) -7-: 808 if ((prim_mubi_pkg::mubi4_test_true_strict(sha3_absorbed) && prim_mubi_pkg::mubi4_test_true_strict(sha3_done))) -8-: 814 if ((prim_mubi_pkg::mubi4_test_true_strict(sha3_absorbed) && prim_mubi_pkg::mubi4_test_false_loose(sha3_done))) -9-: 824 if (prim_mubi_pkg::mubi4_test_true_strict(sha3_done))

Branches:
-1--2--3--4--5--6--7--8--9-StatusTests
KmacIdle 1 1 - - - - - - Covered T1,T3,T15
KmacIdle 1 0 - - - - - - Covered T1,T2,T3
KmacIdle 0 - - - - - - - Covered T1,T2,T3
KmacPrefix - - 1 1 - - - - Covered T1,T3,T15
KmacPrefix - - 1 0 - - - - Covered T16,T17,T22
KmacPrefix - - 0 - - - - - Covered T1,T3,T15
KmacKeyBlock - - - - 1 - - - Covered T1,T3,T15
KmacKeyBlock - - - - 0 - - - Covered T1,T3,T15
KmacMsgFeed - - - - - 1 - - Covered T16,T17,T22
KmacMsgFeed - - - - - 0 1 - Covered T1,T2,T3
KmacMsgFeed - - - - - 0 0 - Covered T1,T2,T3
KmacDigest - - - - - - - 1 Covered T1,T2,T3
KmacDigest - - - - - - - 0 Covered T1,T2,T3
KmacTerminalError - - - - - - - - Covered T4,T5,T6
default - - - - - - - - Covered T4,T10,T11


LineNo. Expression -1-: 846 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en[0]))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 1153 (reg_state_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 1413 if ((!rst_ni)) -2-: 1415 if (alert_recov_operation) -3-: 1417 if (err_processed)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Not Covered
0 0 1 Covered T19,T20,T21
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1436 if ((!rst_ni)) -2-: 1438 if (alert_fatal)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T4,T5,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1465 if ((!rst_ni)) -2-: 1467 if (alerts[1])

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T4,T5,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1475 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : kmac
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 30 30 100.00 30 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 30 30 100.00 30 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertKnownO_A 2147483647 2147483647 0 0
CmdSparse_M 2147483647 1284907 0 0
EnMaskingKnown_A 2147483647 2147483647 0 0
EntropyReadyLatched_A 2147483647 338523 0 0
EntrySizeRegSameToEntrySizePkg_A 1033 1033 0 0
ErrProcessedLatched_A 2147483647 543 0 0
FifoEmpty_A 2147483647 2147483647 0 0
FpvSecCmErrorCheckFsmCheck_A 2147483647 90 0 0
FpvSecCmKeccackFsmCheck_A 2147483647 90 0 0
FpvSecCmKeyIndexCountCheck_A 2147483647 90 0 0
FpvSecCmKmacAppFsmCheck_A 2147483647 90 0 0
FpvSecCmKmacCoreFsmCheck_A 2147483647 90 0 0
FpvSecCmKmacFsmCheck_A 2147483647 90 0 0
FpvSecCmRegWeOnehotCheck_A 2147483647 90 0 0
FpvSecCmRoundCountCheck_A 2147483647 90 0 0
FpvSecCmSHA3FsmCheck_A 2147483647 90 0 0
FpvSecCmSHA3padFsmCheck_A 2147483647 90 0 0
FpvSecCmSentMsgCountCheck_A 2147483647 90 0 0
KmacCmd_A 2147483647 2147483647 0 0
KmacDone_A 2147483647 2147483647 0 0
KmacErr_A 2147483647 2147483647 0 0
KmacStKnown_A 2147483647 2147483647 0 0
NumAlerts2_A 1033 1033 0 0
NumEntriesRegSameToNumEntriesPkg_A 1033 1033 0 0
PrefixRegSameToPrefixPkg_A 1033 1033 0 0
SecretKeyDivideBy32_A 1033 1033 0 0
Sha3AbsorbedPulse_A 2147483647 348027 0 0
TlOAReadyKnown_A 2147483647 2147483647 0 0
TlODValidKnown_A 2147483647 2147483647 0 0
u_state_regs_A 2147483647 2147483647 0 0


AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

CmdSparse_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 1284907 0 0
T1 806135 1344 0 0
T2 260386 7474 0 0
T3 186496 1230 0 0
T12 617133 1199 0 0
T13 178484 1208 0 0
T14 188442 1240 0 0
T15 106301 1138 0 0
T16 173354 1026 0 0
T17 194273 946 0 0
T18 592197 988 0 0

EnMaskingKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

EntropyReadyLatched_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 338523 0 0
T1 806135 188 0 0
T2 260386 2270 0 0
T3 186496 170 0 0
T12 617133 358 0 0
T13 178484 363 0 0
T14 188442 381 0 0
T15 106301 159 0 0
T16 173354 195 0 0
T17 194273 181 0 0
T18 592197 302 0 0

EntrySizeRegSameToEntrySizePkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

ErrProcessedLatched_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 543 0 0
T4 443295 0 0 0
T19 66536 14 0 0
T20 0 12 0 0
T21 0 11 0 0
T23 0 2 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T47 909650 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T63 0 5 0 0
T64 0 17 0 0
T65 0 15 0 0
T66 0 6 0 0
T67 0 15 0 0
T68 0 14 0 0
T69 6412 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0

FifoEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

FpvSecCmErrorCheckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKeccackFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKeyIndexCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKmacAppFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKmacCoreFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKmacFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmRoundCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmSHA3FsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmSHA3padFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmSentMsgCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

KmacCmd_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

KmacDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

KmacErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

KmacStKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

NumAlerts2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

NumEntriesRegSameToNumEntriesPkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

PrefixRegSameToPrefixPkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

SecretKeyDivideBy32_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

Sha3AbsorbedPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 348027 0 0
T1 806135 188 0 0
T2 260386 2337 0 0
T3 186496 172 0 0
T12 617133 374 0 0
T13 178484 374 0 0
T14 188442 390 0 0
T15 106301 160 0 0
T16 173354 196 0 0
T17 194273 182 0 0
T18 592197 310 0 0

TlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

TlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

Line Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
TOTAL16115596.27
ALWAYS34300
ALWAYS34322100.00
ALWAYS349100.00
CONT_ASSIGN41811100.00
CONT_ASSIGN41911100.00
CONT_ASSIGN42311100.00
ALWAYS42699100.00
CONT_ASSIGN46111100.00
CONT_ASSIGN46211100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47011100.00
CONT_ASSIGN47111100.00
CONT_ASSIGN47511100.00
CONT_ASSIGN47811100.00
ALWAYS48566100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51511100.00
CONT_ASSIGN52211100.00
CONT_ASSIGN52511100.00
CONT_ASSIGN52611100.00
CONT_ASSIGN52711100.00
CONT_ASSIGN52911100.00
CONT_ASSIGN53011100.00
CONT_ASSIGN53211100.00
CONT_ASSIGN53400
CONT_ASSIGN53611100.00
CONT_ASSIGN54011100.00
CONT_ASSIGN54211100.00
CONT_ASSIGN54311100.00
CONT_ASSIGN54611100.00
CONT_ASSIGN54711100.00
CONT_ASSIGN55011100.00
ALWAYS55855100.00
CONT_ASSIGN56811100.00
CONT_ASSIGN57511100.00
CONT_ASSIGN57611100.00
CONT_ASSIGN57711100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN62711100.00
CONT_ASSIGN63311100.00
CONT_ASSIGN64111100.00
CONT_ASSIGN64611100.00
ALWAYS64955100.00
CONT_ASSIGN67811100.00
CONT_ASSIGN68311100.00
ALWAYS6867571.43
CONT_ASSIGN72211100.00
CONT_ASSIGN727100.00
CONT_ASSIGN73411100.00
CONT_ASSIGN74411100.00
ALWAYS76433100.00
ALWAYS7682828100.00
CONT_ASSIGN91811100.00
CONT_ASSIGN92111100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN102311100.00
CONT_ASSIGN102811100.00
CONT_ASSIGN102911100.00
CONT_ASSIGN103111100.00
CONT_ASSIGN103400
ALWAYS115200
ALWAYS115222100.00
CONT_ASSIGN1305100.00
CONT_ASSIGN130611100.00
CONT_ASSIGN130711100.00
CONT_ASSIGN131711100.00
CONT_ASSIGN131811100.00
CONT_ASSIGN132411100.00
CONT_ASSIGN132511100.00
CONT_ASSIGN132611100.00
CONT_ASSIGN132711100.00
CONT_ASSIGN133011100.00
CONT_ASSIGN133911100.00
CONT_ASSIGN138111100.00
CONT_ASSIGN139511100.00
CONT_ASSIGN140211100.00
CONT_ASSIGN140711100.00
ALWAYS14136583.33
CONT_ASSIGN142211100.00
CONT_ASSIGN142411100.00
ALWAYS143644100.00
CONT_ASSIGN144211100.00
ALWAYS146544100.00
ALWAYS147533100.00
CONT_ASSIGN148611100.00
CONT_ASSIGN149011100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
CONT_ASSIGN149211100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
343 1 1
344 1 1
349 0 1
418 1 1
419 1 1
423 1 1
426 1 1
427 1 1
428 1 1
429 1 1
431 1 1
433 1 1
437 1 1
441 1 1
445 1 1
461 1 1
462 1 1
463 1 1
466 1 1
470 1 1
471 1 1
475 1 1
478 1 1
485 1 1
486 1 1
487 1 1
488 1 1
489 1 1
490 1 1
MISSING_ELSE
MISSING_ELSE
510 1 1
515 1 1
522 1 1
525 1 1
526 1 1
527 1 1
529 1 1
530 1 1
532 1 1
534 unreachable
536 1 1
540 1 1
542 1 1
543 1 1
546 1 1
547 1 1
550 1 1
558 1 1
559 1 1
560 1 1
561 1 1
563 1 1
568 1 1
575 1 1
576 1 1
577 1 1
585 1 1
627 1 1
633 1 1
641 1 1
646 1 1
649 1 1
650 1 1
651 1 1
653 1 1
654 1 1
678 1 1
683 1 1
686 1 1
688 1 1
693 1 1
697 1 1
701 1 1
705 0 1
709 0 1
722 1 1
727 0 1
734 1 1
744 1 1
764 3 3
768 1 1
770 1 1
771 1 1
773 1 1
775 1 1
777 1 1
778 1 1
781 1 1
784 1 1
790 1 1
791 1 1
793 1 1
798 1 1
799 1 1
800 1 1
802 1 1
808 1 1
813 1 1
814 1 1
816 1 1
818 1 1
824 1 1
825 1 1
827 1 1
833 1 1
834 1 1
846 1 1
847 1 1
MISSING_ELSE
918 1 1
921 1 1
990 1 1
992 1 1
1023 1 1
1028 1 1
1029 1 1
1031 1 1
1034 unreachable
1152 1 1
1153 1 1
1305 0 1
1306 1 1
1307 1 1
1317 1 1
1318 1 1
1324 1 1
1325 1 1
1326 1 1
1327 1 1
1330 1 1
1339 1 1
1381 1 1
1395 1 1
1402 1 1
1407 1 1
1413 1 1
1414 1 1
1415 1 1
1416 0 1
1417 1 1
1418 1 1
MISSING_ELSE
1422 1 1
1424 1 1
1436 1 1
1437 1 1
1438 1 1
1439 1 1
MISSING_ELSE
1442 1 1
1465 1 1
1466 1 1
1467 1 1
1469 1 1
MISSING_ELSE
1475 1 1
1476 1 1
1479 1 1
1486 1 1
1490 1 1
1492 6 6


Cond Coverage for Instance : tb.dut
TotalCoveredPercent
Conditions908493.33
Logical908493.33
Non-Logical00
Event00

 LINE       423
 EXPRESSION (cmd_update ? cmd_q : CmdNone)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       461
 EXPRESSION (sha3_fsm == StIdle)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       462
 EXPRESSION (sha3_fsm == StAbsorb)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sha3_fsm == StSqueeze)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       475
 EXPRESSION (sha3_fsm == StIdle)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       527
 EXPRESSION (reg2hw.cmd.entropy_req.q && reg2hw.cmd.entropy_req.qe)
             ------------1-----------    ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT17,T4,T31

 LINE       536
 EXPRESSION (reg2hw.cmd.hash_cnt_clr.qe && reg2hw.cmd.hash_cnt_clr.q)
             -------------1------------    ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT17,T31,T27

 LINE       540
 EXPRESSION (reg2hw.cfg_shadowed.entropy_ready.q & reg2hw.cfg_shadowed.entropy_ready.qe)
             -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT19,T20,T51
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       547
 EXPRESSION (cfg_msg_mask & msg_valid & msg_ready)
             ------1-----   ----2----   ----3----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT1,T3,T13
111CoveredT1,T2,T3

 LINE       560
 EXPRESSION ((sha3_fsm == StIdle) && (msgfifo_empty || SecIdleAcceptSwMsg))
             ----------1---------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       560
 SUB-EXPRESSION (sha3_fsm == StIdle)
                ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       560
 SUB-EXPRESSION (msgfifo_empty || SecIdleAcceptSwMsg)
                 ------1------    ---------2--------
-1--2-StatusTests
0-CoveredT1,T2,T3
1-CoveredT1,T2,T3

 LINE       568
 EXPRESSION (reg2hw.cfg_shadowed.err_processed.q & reg2hw.cfg_shadowed.err_processed.qe)
             -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT19,T20,T21
11CoveredT19,T20,T21

 LINE       627
 EXPRESSION (msgfifo_empty_q & ((~msgfifo_empty)))
             -------1-------   ---------2--------
-1--2-StatusTests
01CoveredT1,T3,T12
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       633
 EXPRESSION (msgfifo_full ? 1'b1 : (msgfifo_empty_negedge ? 1'b0 : (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q)))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T22,T32

 LINE       633
 SUB-EXPRESSION (msgfifo_empty_negedge ? 1'b0 : (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q))
                 ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       633
 SUB-EXPRESSION (msgfifo2kmac_process ? 1'b0 : msgfifo_full_seen_q)
                 ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       641
 EXPRESSION (app_active ? 1'b1 : ((sha3_fsm != StAbsorb) ? 1'b1 : (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q)))))
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT16,T17,T22

 LINE       641
 SUB-EXPRESSION ((sha3_fsm != StAbsorb) ? 1'b1 : (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q))))
                 -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       641
 SUB-EXPRESSION (sha3_fsm != StAbsorb)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       641
 SUB-EXPRESSION (msgfifo2kmac_process ? 1'b1 : ((~msgfifo_full_seen_q)))
                 ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       646
 EXPRESSION (msgfifo_empty_gate ? 1'b0 : msgfifo_empty)
             ---------1--------
-1-StatusTests
0CoveredT1,T48,T49
1CoveredT1,T2,T3

 LINE       678
 EXPRESSION (sha3_err.valid | app_err.valid | entropy_err.valid | errchecker_err.valid)
             -------1------   ------2------   --------3--------   ----------4---------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT22,T32,T33
0010Not Covered
0100CoveredT22,T32,T19
1000CoveredT26,T27,T28

 LINE       722
 EXPRESSION (sha3_count_error | kmac_entropy_hash_counter_error | key_index_error | msgfifo_counter_error)
             --------1-------   ---------------2---------------   -------3-------   ----------4----------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Not Covered
0010CoveredT4,T10,T11
0100Unreachable
1000CoveredT4,T10,T11

 LINE       734
 EXPRESSION (sha3_state_error | kmac_errchk_state_error | kmac_core_state_error | kmac_app_state_error | kmac_entropy_state_error | kmac_state_error)
             --------1-------   -----------2-----------   ----------3----------   ----------4---------   ------------5-----------   --------6-------
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T2,T3
000001CoveredT4,T10,T11
000010Unreachable
000100CoveredT4,T10,T11
001000CoveredT4,T10,T11
010000CoveredT4,T10,T11
100000CoveredT4,T10,T11

 LINE       775
 EXPRESSION (kmac_cmd == CmdStart)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       777
 EXPRESSION (CShake == app_sha3_mode)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T15

 LINE       791
 EXPRESSION (app_kmac_en ? KmacKeyBlock : KmacMsgFeed)
             -----1-----
-1-StatusTests
0CoveredT16,T17,T22
1CoveredT1,T3,T15

 LINE       1023
 EXPRESSION (tlram_req & tlram_we)
             ----1----   ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       1153
 EXPRESSION (reg_state_valid ? reg_state[i] : 'b0)
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       1395
 SUB-EXPRESSION (reg2hw.alert_test.fatal_fault_err.q & reg2hw.alert_test.fatal_fault_err.qe)
                 -----------------1-----------------   ------------------2-----------------
-1--2-StatusTests
01CoveredT52,T53,T54
10CoveredT1,T2,T3
11CoveredT52,T53,T54

 LINE       1395
 SUB-EXPRESSION (reg2hw.alert_test.recov_operation_err.q & reg2hw.alert_test.recov_operation_err.qe)
                 -------------------1-------------------   --------------------2-------------------
-1--2-StatusTests
01CoveredT52,T53,T54
10CoveredT1,T2,T3
11CoveredT52,T53,T54

 LINE       1424
 EXPRESSION (shadowed_storage_err | alert_intg_err | sparse_fsm_error | counter_error | control_integrity_error)
             ----------1---------   -------2------   --------3-------   ------4------   -----------5-----------
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001Not Covered
00010CoveredT4,T10,T11
00100CoveredT4,T5,T6
01000CoveredT4,T10,T11
10000Not Covered

Toggle Coverage for Instance : tb.dut
TotalCoveredPercent
Totals 64 64 100.00
Total Bits 4160 4160 100.00
Total Bits 0->1 2080 2080 100.00
Total Bits 1->0 2080 2080 100.00

Ports 64 64 100.00
Port Bits 4160 4160 100.00
Port Bits 0->1 2080 2080 100.00
Port Bits 1->0 2080 2080 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T4,T55,T5 Yes T1,T2,T3 INPUT
rst_shadowed_ni Yes Yes T4,T55,T5 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T4,T55,T5 Yes T1,T2,T3 INPUT
tl_i.d_ready Yes Yes T2,T3,T12 Yes T1,T2,T3 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T14,T18,T41 Yes T14,T18,T41 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_address[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_source[7:0] Yes Yes T1,T3,T13 Yes T1,T3,T13 INPUT
tl_i.a_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_error Yes Yes T49,T56,T57 Yes T49,T56,T57 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
tl_o.d_source[7:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T52,T53,T54 Yes T52,T53,T54 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T52,T4,T53 Yes T52,T4,T53 INPUT
alert_rx_i[1].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[1].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T52,T53,T54 Yes T52,T53,T54 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T52,T4,T53 Yes T52,T4,T53 OUTPUT
keymgr_key_i.key[0][1:0] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][2] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][3] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][4] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][5] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][6] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][7] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][8] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][9] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][10] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][11] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][12] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][13] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][14] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][15] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][18:16] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][20:19] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][21] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][22] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][23] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][26:24] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][28:27] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][29] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][32:30] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][33] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][35:34] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][36] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][37] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][38] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][40:39] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][41] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][42] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][43] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][44] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][45] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][46] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][47] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][48] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][49] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][50] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][51] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][57:52] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][62:58] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][63] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][64] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][65] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][67:66] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][68] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][69] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][70] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][71] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][72] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][73] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][74] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][75] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][82:76] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][83] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][84] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][87:85] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][89:88] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][90] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][91] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][93:92] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][94] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][95] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][96] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][98:97] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][99] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][100] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][102:101] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][103] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][104] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][107:105] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][108] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][111:109] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][112] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][113] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][114] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][115] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][117:116] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][118] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][119] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][120] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][123:121] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][124] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][125] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][126] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][127] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][128] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][129] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][130] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][131] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][132] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][134:133] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][139:135] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][140] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][142:141] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][143] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][144] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][145] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][147:146] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][149:148] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][151:150] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][152] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][153] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][155:154] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][156] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][157] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][158] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][159] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][162:160] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][163] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][164] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][165] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][166] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][167] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][168] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][169] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][172:170] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][173] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][175:174] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][176] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][180:177] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][181] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][184:182] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][185] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][186] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][188:187] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][189] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][191:190] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][192] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][196:193] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][197] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][198] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][200:199] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][202:201] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][203] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][204] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][205] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][207:206] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][208] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][210:209] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][211] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][216:212] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][217] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][218] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][221:219] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][223:222] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][224] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][225] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][226] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][227] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][228] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][229] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][230] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][231] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][233:232] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][234] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][236:235] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][237] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][238] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][239] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][240] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][241] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][242] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][243] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][244] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][245] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][246] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][247] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][251:248] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][252] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][253] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][254] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[0][255] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][0] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][1] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][2] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][3] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][7:4] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][8] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][10:9] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][11] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][13:12] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][14] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][15] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][17:16] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][18] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][19] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][20] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][21] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][23:22] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][28:24] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][30:29] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][32:31] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][33] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][34] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][35] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][37:36] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][41:38] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][43:42] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][44] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][46:45] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][47] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][48] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][49] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][50] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][52:51] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][53] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][54] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][55] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][56] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][57] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][61:58] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][62] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][63] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][64] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][65] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][66] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][67] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][69:68] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][71:70] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][72] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][73] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][74] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][75] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][78:76] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][79] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][80] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][81] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][83:82] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][84] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][85] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][86] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][88:87] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][89] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][91:90] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][94:92] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][96:95] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][97] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][98] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][101:99] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][103:102] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][105:104] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][106] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][111:107] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][113:112] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][114] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][115] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][116] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][117] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][118] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][121:119] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][123:122] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][124] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][125] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][128:126] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][129] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][130] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][131] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][132] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][133] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][139:134] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][140] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][141] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][142] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][143] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][144] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][146:145] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][147] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][150:148] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][151] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][155:152] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][157:156] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][158] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][159] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][161:160] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][162] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][164:163] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][165] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][166] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][167] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][168] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][169] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][170] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][171] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][172] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][173] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][174] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][175] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][176] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][178:177] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][179] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][180] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][181] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][182] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][183] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][186:184] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][187] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][188] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][189] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][190] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][191] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][192] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][194:193] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][195] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][197:196] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][201:198] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][202] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][204:203] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][205] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][206] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][209:207] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][210] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][211] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][212] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][214:213] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][215] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][216] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][217] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][219:218] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][221:220] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][222] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][225:223] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][226] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][227] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][229:228] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][230] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][232:231] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][233] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][234] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][235] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][237:236] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][238] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][239] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][240] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][242:241] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][243] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][244] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][245] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][246] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][248:247] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][250:249] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][251] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][252] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][253] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][254] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.key[1][255] Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
keymgr_key_i.valid Yes Yes T1,T3,T15 Yes T1,T3,T15 INPUT
app_i[0].last Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[0].strb[7:0] Yes Yes T17,T31,T27 Yes T17,T31,T27 INPUT
app_i[0].data[63:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[0].valid Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[1].last Yes Yes T16,T17,T26 Yes T16,T17,T26 INPUT
app_i[1].strb[7:0] Yes Yes T17,T27,T58 Yes T17,T27,T58 INPUT
app_i[1].data[63:0] Yes Yes T16,T17,T26 Yes T16,T17,T26 INPUT
app_i[1].valid Yes Yes T16,T17,T26 Yes T16,T17,T26 INPUT
app_i[2].last Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[2].strb[7:0] Yes Yes T17,T31,T27 Yes T17,T31,T27 INPUT
app_i[2].data[63:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_i[2].valid Yes Yes T16,T17,T22 Yes T16,T17,T22 INPUT
app_o[0].error Yes Yes T26,T4,T5 Yes T26,T4,T5 OUTPUT
app_o[0].digest_share1[383:0] Excluded Excluded Excluded OUTPUT [UNSUPPORTED]: unmasked kmac share1 always output 0.
app_o[0].digest_share0[383:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[0].done Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[0].ready Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[1].error Yes Yes T26,T27,T28 Yes T26,T27,T28 OUTPUT
app_o[1].digest_share1[383:0] Excluded Excluded Excluded OUTPUT [UNSUPPORTED]: unmasked kmac share1 always output 0.
app_o[1].digest_share0[383:0] Yes Yes T16,T17,T26 Yes T16,T17,T26 OUTPUT
app_o[1].done Yes Yes T16,T17,T26 Yes T16,T17,T26 OUTPUT
app_o[1].ready Yes Yes T16,T17,T26 Yes T16,T17,T26 OUTPUT
app_o[2].error Yes Yes T26,T27,T28 Yes T26,T27,T28 OUTPUT
app_o[2].digest_share1[383:0] Excluded Excluded Excluded OUTPUT [UNSUPPORTED]: unmasked kmac share1 always output 0.
app_o[2].digest_share0[383:0] Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[2].done Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
app_o[2].ready Yes Yes T16,T17,T22 Yes T16,T17,T22 OUTPUT
entropy_o.edn_req[0:0] Excluded Excluded Excluded OUTPUT [UNSUPPORTED]: unmasked kmac does not use entropy.
entropy_i.edn_bus[31:0] Excluded Excluded Excluded INPUT [UNSUPPORTED]: unmasked kmac does not use entropy.
entropy_i.edn_fips[0:0] Excluded Excluded Excluded INPUT [UNSUPPORTED]: unmasked kmac does not use entropy.
entropy_i.edn_ack[0:0] Excluded Excluded Excluded INPUT [UNSUPPORTED]: unmasked kmac does not use entropy.
lc_escalate_en_i[3:0] Yes Yes T5,T6,T39 Yes T5,T6,T39 INPUT
intr_kmac_done_o Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
intr_fifo_empty_o Yes Yes T1,T48,T49 Yes T1,T48,T49 OUTPUT
intr_kmac_err_o Yes Yes T22,T26,T32 Yes T22,T26,T32 OUTPUT
en_masking_o Unreachable Unreachable Unreachable OUTPUT
idle_o[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

FSM Coverage for Instance : tb.dut
Summary for FSM :: kmac_st
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 13 13 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: kmac_st
statesLine No.CoveredTests
KmacDigest 816 Covered T1,T2,T3
KmacIdle 784 Covered T1,T2,T3
KmacKeyBlock 791 Covered T1,T3,T15
KmacMsgFeed 781 Covered T1,T2,T3
KmacPrefix 778 Covered T1,T3,T15
KmacTerminalError 833 Covered T4,T5,T6


transitionsLine No.CoveredTests
KmacDigest->KmacIdle 825 Covered T1,T2,T3
KmacDigest->KmacTerminalError 847 Covered T7,T59,T60
KmacIdle->KmacMsgFeed 781 Covered T1,T2,T3
KmacIdle->KmacPrefix 778 Covered T1,T3,T15
KmacIdle->KmacTerminalError 847 Covered T4,T5,T10
KmacKeyBlock->KmacMsgFeed 800 Covered T1,T3,T15
KmacKeyBlock->KmacTerminalError 847 Covered T34,T9,T61
KmacMsgFeed->KmacDigest 816 Covered T1,T2,T3
KmacMsgFeed->KmacIdle 813 Covered T16,T17,T22
KmacMsgFeed->KmacTerminalError 847 Covered T6,T39,T40
KmacPrefix->KmacKeyBlock 791 Covered T1,T3,T15
KmacPrefix->KmacMsgFeed 791 Covered T16,T17,T22
KmacPrefix->KmacTerminalError 847 Covered T36,T8,T62



Branch Coverage for Instance : tb.dut
Line No.TotalCoveredPercent
Branches 65 61 93.85
TERNARY 423 2 2 100.00
TERNARY 633 4 4 100.00
TERNARY 641 4 4 100.00
TERNARY 646 2 2 100.00
CASE 431 6 5 83.33
IF 485 3 3 100.00
IF 558 3 3 100.00
IF 649 2 2 100.00
CASE 688 6 4 66.67
IF 764 2 2 100.00
CASE 773 15 15 100.00
IF 846 2 2 100.00
TERNARY 1153 2 2 100.00
IF 1413 4 3 75.00
IF 1436 3 3 100.00
IF 1465 3 3 100.00
IF 1475 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 423 (cmd_update) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 633 (msgfifo_full) ? -2-: 633 (msgfifo_empty_negedge) ? -3-: 633 (msgfifo2kmac_process) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T22,T32
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 641 (app_active) ? -2-: 641 ((sha3_fsm != StAbsorb)) ? -3-: 641 (msgfifo2kmac_process) ?

Branches:
-1--2--3-StatusTests
1 - - Covered T16,T17,T22
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 646 (msgfifo_empty_gate) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T48,T49


LineNo. Expression -1-: 431 case (kmac_cmd)

Branches:
-1-StatusTests
CmdStart Covered T1,T2,T3
CmdProcess Covered T1,T2,T3
CmdManualRun Covered T1,T3,T15
CmdDone Covered T1,T2,T3
CmdNone Covered T1,T2,T3
default Not Covered


LineNo. Expression -1-: 485 if ((!rst_ni)) -2-: 487 if (engine_stable)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 558 if ((!rst_ni)) -2-: 560 if (((sha3_fsm == StIdle) && (msgfifo_empty || SecIdleAcceptSwMsg)))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 649 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 688 case (1'b1)

Branches:
-1-StatusTests
app_err.valid Covered T22,T32,T19
errchecker_err.valid Covered T22,T32,T33
sha3_err.valid Covered T26,T27,T28
entropy_err.valid Not Covered
msgfifo_err.valid Not Covered
default Covered T1,T2,T3


LineNo. Expression -1-: 764 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 773 case (kmac_st) -2-: 775 if ((kmac_cmd == CmdStart)) -3-: 777 if ((CShake == app_sha3_mode)) -4-: 790 if (sha3_block_processed) -5-: 791 (app_kmac_en) ? -6-: 799 if (sha3_block_processed) -7-: 808 if ((prim_mubi_pkg::mubi4_test_true_strict(sha3_absorbed) && prim_mubi_pkg::mubi4_test_true_strict(sha3_done))) -8-: 814 if ((prim_mubi_pkg::mubi4_test_true_strict(sha3_absorbed) && prim_mubi_pkg::mubi4_test_false_loose(sha3_done))) -9-: 824 if (prim_mubi_pkg::mubi4_test_true_strict(sha3_done))

Branches:
-1--2--3--4--5--6--7--8--9-StatusTests
KmacIdle 1 1 - - - - - - Covered T1,T3,T15
KmacIdle 1 0 - - - - - - Covered T1,T2,T3
KmacIdle 0 - - - - - - - Covered T1,T2,T3
KmacPrefix - - 1 1 - - - - Covered T1,T3,T15
KmacPrefix - - 1 0 - - - - Covered T16,T17,T22
KmacPrefix - - 0 - - - - - Covered T1,T3,T15
KmacKeyBlock - - - - 1 - - - Covered T1,T3,T15
KmacKeyBlock - - - - 0 - - - Covered T1,T3,T15
KmacMsgFeed - - - - - 1 - - Covered T16,T17,T22
KmacMsgFeed - - - - - 0 1 - Covered T1,T2,T3
KmacMsgFeed - - - - - 0 0 - Covered T1,T2,T3
KmacDigest - - - - - - - 1 Covered T1,T2,T3
KmacDigest - - - - - - - 0 Covered T1,T2,T3
KmacTerminalError - - - - - - - - Covered T4,T5,T6
default - - - - - - - - Covered T4,T10,T11


LineNo. Expression -1-: 846 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en[0]))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 1153 (reg_state_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 1413 if ((!rst_ni)) -2-: 1415 if (alert_recov_operation) -3-: 1417 if (err_processed)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Not Covered
0 0 1 Covered T19,T20,T21
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1436 if ((!rst_ni)) -2-: 1438 if (alert_fatal)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T4,T5,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1465 if ((!rst_ni)) -2-: 1467 if (alerts[1])

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T4,T5,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 1475 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 30 30 100.00 30 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 30 30 100.00 30 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertKnownO_A 2147483647 2147483647 0 0
CmdSparse_M 2147483647 1284907 0 0
EnMaskingKnown_A 2147483647 2147483647 0 0
EntropyReadyLatched_A 2147483647 338523 0 0
EntrySizeRegSameToEntrySizePkg_A 1033 1033 0 0
ErrProcessedLatched_A 2147483647 543 0 0
FifoEmpty_A 2147483647 2147483647 0 0
FpvSecCmErrorCheckFsmCheck_A 2147483647 90 0 0
FpvSecCmKeccackFsmCheck_A 2147483647 90 0 0
FpvSecCmKeyIndexCountCheck_A 2147483647 90 0 0
FpvSecCmKmacAppFsmCheck_A 2147483647 90 0 0
FpvSecCmKmacCoreFsmCheck_A 2147483647 90 0 0
FpvSecCmKmacFsmCheck_A 2147483647 90 0 0
FpvSecCmRegWeOnehotCheck_A 2147483647 90 0 0
FpvSecCmRoundCountCheck_A 2147483647 90 0 0
FpvSecCmSHA3FsmCheck_A 2147483647 90 0 0
FpvSecCmSHA3padFsmCheck_A 2147483647 90 0 0
FpvSecCmSentMsgCountCheck_A 2147483647 90 0 0
KmacCmd_A 2147483647 2147483647 0 0
KmacDone_A 2147483647 2147483647 0 0
KmacErr_A 2147483647 2147483647 0 0
KmacStKnown_A 2147483647 2147483647 0 0
NumAlerts2_A 1033 1033 0 0
NumEntriesRegSameToNumEntriesPkg_A 1033 1033 0 0
PrefixRegSameToPrefixPkg_A 1033 1033 0 0
SecretKeyDivideBy32_A 1033 1033 0 0
Sha3AbsorbedPulse_A 2147483647 348027 0 0
TlOAReadyKnown_A 2147483647 2147483647 0 0
TlODValidKnown_A 2147483647 2147483647 0 0
u_state_regs_A 2147483647 2147483647 0 0


AlertKnownO_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

CmdSparse_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 1284907 0 0
T1 806135 1344 0 0
T2 260386 7474 0 0
T3 186496 1230 0 0
T12 617133 1199 0 0
T13 178484 1208 0 0
T14 188442 1240 0 0
T15 106301 1138 0 0
T16 173354 1026 0 0
T17 194273 946 0 0
T18 592197 988 0 0

EnMaskingKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

EntropyReadyLatched_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 338523 0 0
T1 806135 188 0 0
T2 260386 2270 0 0
T3 186496 170 0 0
T12 617133 358 0 0
T13 178484 363 0 0
T14 188442 381 0 0
T15 106301 159 0 0
T16 173354 195 0 0
T17 194273 181 0 0
T18 592197 302 0 0

EntrySizeRegSameToEntrySizePkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

ErrProcessedLatched_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 543 0 0
T4 443295 0 0 0
T19 66536 14 0 0
T20 0 12 0 0
T21 0 11 0 0
T23 0 2 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T47 909650 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T63 0 5 0 0
T64 0 17 0 0
T65 0 15 0 0
T66 0 6 0 0
T67 0 15 0 0
T68 0 14 0 0
T69 6412 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0

FifoEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

FpvSecCmErrorCheckFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKeccackFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKeyIndexCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKmacAppFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKmacCoreFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmKmacFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmRoundCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmSHA3FsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmSHA3padFsmCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

FpvSecCmSentMsgCountCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 90 0 0
T4 443295 20 0 0
T5 2093 0 0 0
T10 0 20 0 0
T11 0 10 0 0
T29 141340 0 0 0
T31 296530 0 0 0
T53 925 0 0 0
T55 36875 0 0 0
T70 16322 0 0 0
T71 388653 0 0 0
T72 0 20 0 0
T73 0 20 0 0
T74 218364 0 0 0
T75 48948 0 0 0

KmacCmd_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

KmacDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

KmacErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

KmacStKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

NumAlerts2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

NumEntriesRegSameToNumEntriesPkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

PrefixRegSameToPrefixPkg_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

SecretKeyDivideBy32_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

Sha3AbsorbedPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 348027 0 0
T1 806135 188 0 0
T2 260386 2337 0 0
T3 186496 172 0 0
T12 617133 374 0 0
T13 178484 374 0 0
T14 188442 390 0 0
T15 106301 160 0 0
T16 173354 196 0 0
T17 194273 182 0 0
T18 592197 310 0 0

TlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

TlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 806135 806060 0 0
T2 260386 260385 0 0
T3 186496 186490 0 0
T12 617133 617125 0 0
T13 178484 178477 0 0
T14 188442 188432 0 0
T15 106301 106300 0 0
T16 173354 173347 0 0
T17 194273 194266 0 0
T18 592197 592189 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%