Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_dm
SCORELINECONDTOGGLEFSMBRANCHASSERT
77.03 90.91 61.70 87.88 57.14 87.50

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_dm_0.1/rtl/rv_dm.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 77.03 90.91 61.70 87.88 57.14 87.50



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
77.03 90.91 61.70 87.88 57.14 87.50


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
86.26 93.96 82.01 87.61 73.08 82.50 98.42


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
dap 86.33 98.68 93.42 70.00 94.57 75.00
gen_alert_tx[0].u_prim_alert_sender 83.33 83.33
i_tlul_adapter_reg 93.27 99.00 80.90 93.33 93.10 100.00
rv_dm_regs_csr_assert 100.00 100.00
tl_adapter_host_sba 95.05 100.00 100.00 75.24 100.00 100.00
tlul_assert_device_mem 95.24 100.00 85.71 100.00
tlul_assert_device_regs 95.12 100.00 85.71 99.65
tlul_assert_host_sba 94.30 100.00 85.71 97.18
u_dm_top 81.03 84.94 66.32 85.71 68.16 100.00
u_lc_en_sync_copies 100.00 100.00 100.00
u_pm_en_sync 100.00 100.00 100.00 100.00
u_prim_clock_mux2 100.00 100.00 100.00 100.00
u_prim_flop_2sync_lc_rst_assert 100.00 100.00 100.00
u_prim_flop_2sync_lc_rst_sync 100.00 100.00 100.00
u_prim_lc_sync_lc_dft_en 100.00 100.00 100.00 100.00
u_prim_lc_sync_lc_hw_debug_en 100.00 100.00 100.00 100.00
u_prim_mubi32_sync_late_debug_enable 100.00 100.00 100.00
u_prim_mubi8_sync_otp_dis_rv_dm_late_debug 100.00 100.00 100.00 100.00
u_prim_rst_n_mux2 100.00 100.00 100.00 100.00
u_reg_regs 98.19 98.69 98.71 93.55 100.00 100.00
u_tlul_lc_gate_rom 82.58 93.70 67.86 85.71 78.12 87.50
u_tlul_lc_gate_sba 74.11 89.76 64.29 57.14 71.88 87.50


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : rv_dm
Line No.TotalCoveredPercent
TOTAL333090.91
CONT_ASSIGN12211100.00
CONT_ASSIGN12311100.00
CONT_ASSIGN12811100.00
CONT_ASSIGN13111100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN23711100.00
CONT_ASSIGN23911100.00
CONT_ASSIGN23911100.00
CONT_ASSIGN23911100.00
CONT_ASSIGN23911100.00
CONT_ASSIGN27811100.00
CONT_ASSIGN28811100.00
CONT_ASSIGN28911100.00
ALWAYS32011872.73
CONT_ASSIGN34511100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43811100.00
CONT_ASSIGN44011100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44711100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN55111100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_dm_0.1/rtl/rv_dm.sv' or '../src/lowrisc_ip_rv_dm_0.1/rtl/rv_dm.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
122 1 1
123 1 1
128 1 1
131 1 1
154 1 1
236 1 1
237 1 1
239 4 4
278 1 1
288 1 1
289 1 1
320 1 1
321 1 1
322 1 1
325 1 1
326 1 1
327 1 1
328 0 1
MISSING_ELSE
331 1 1
332 0 1
333 1 1
334 0 1
MISSING_ELSE
345 1 1
432 1 1
438 1 1
440 1 1
446 1 1
447 1 1
523 1 1
551 1 1


Cond Coverage for Module : rv_dm
TotalCoveredPercent
Conditions472961.70
Logical472961.70
Non-Logical00
Event00

 LINE       128
 EXPRESSION (regs_intg_error | rom_intg_error | sba_gate_intg_error | rom_gate_intg_error)
             -------1-------   -------2------   ---------3---------   ---------4---------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Not Covered
0010Not Covered
0100Not Covered
1000CoveredT38,T39,T40

 LINE       131
 SUB-EXPRESSION (regs_reg2hw.alert_test.q & regs_reg2hw.alert_test.qe)
                 ------------1-----------   ------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT2,T3,T4

 LINE       289
 EXPRESSION (ndmreset_req_qual & reset_req_en)
             --------1--------   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T26,T19

 LINE       325
 EXPRESSION (ndmreset_req && ((!ndmreset_pending_q)))
             ------1-----    -----------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T26,T19
11CoveredT1,T26,T19

 LINE       327
 EXPRESSION (ndmreset_ack && ndmreset_pending_q)
             ------1-----    ---------2--------
-1--2-StatusTests
01CoveredT1,T26,T19
10Not Covered
11Not Covered

 LINE       331
 EXPRESSION (ndmreset_pending_q && lc_rst_asserted)
             ---------1--------    -------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T26,T19
11Not Covered

 LINE       333
 EXPRESSION (ndmreset_ack && lc_rst_pending_q)
             ------1-----    --------2-------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       345
 EXPRESSION (ndmreset_pending_q && lc_rst_pending_q && ((!ndmreset_req)) && ((!lc_rst_asserted)) && reset_req_en)
             ---------1--------    --------2-------    --------3--------    ----------4---------    ------5-----
-1--2--3--4--5-StatusTests
01111Not Covered
10111CoveredT1,T26,T19
11011Not Covered
11101Not Covered
11110Not Covered
11111Not Covered

 LINE       440
 EXPRESSION (debug_req & debug_req_en)
             ----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT7,T8,T26

 LINE       476
 EXPRESSION (dmi_req_ready & dmi_en)
             ------1------   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T37,T41
11CoveredT1,T2,T3

 LINE       476
 EXPRESSION (dmi_rsp_valid & dmi_en)
             ------1------   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       551
 EXPRESSION (device_we || device_re)
             ----1----    ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T19,T13
10CoveredT1,T7,T8

 LINE       567
 EXPRESSION (dmi_req_valid & dmi_en)
             ------1------   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       567
 EXPRESSION (dmi_rsp_ready & dmi_en)
             ------1------   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT9,T37,T41
11CoveredT1,T2,T3

Toggle Coverage for Module : rv_dm
TotalCoveredPercent
Totals 98 79 80.61
Total Bits 1188 1044 87.88
Total Bits 0->1 594 522 87.88
Total Bits 1->0 594 522 87.88

Ports 98 79 80.61
Port Bits 1188 1044 87.88
Port Bits 0->1 594 522 87.88
Port Bits 1->0 594 522 87.88

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_lc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T9,T38,T39 Yes T1,T2,T3 INPUT
rst_lc_ni Yes Yes T9,T38,T39 Yes T1,T2,T3 INPUT
next_dm_addr_i[31:0] No No No INPUT
lc_hw_debug_en_i[3:0] Yes Yes T9,T37,T41 Yes T9,T37,T41 INPUT
lc_dft_en_i[3:0] Yes Yes T9,T37,T41 Yes T9,T37,T41 INPUT
pinmux_hw_debug_en_i[3:0] Yes Yes T9,T37,T41 Yes T9,T37,T41 INPUT
otp_dis_rv_dm_late_debug_i[7:0] Unreachable Unreachable Unreachable INPUT
scanmode_i[0] No No Yes T9,T41,T25 INPUT
scanmode_i[2:1] No Yes T9,T41,T25 No INPUT
scanmode_i[3] No No Yes T9,T41,T25 INPUT
scan_rst_ni Yes Yes T9,T38,T39 Yes T1,T2,T3 INPUT
ndmreset_req_o Yes Yes T1,T26,T19 Yes T1,T26,T19 OUTPUT
dmactive_o Yes Yes T26,T9,T38 Yes T1,T2,T3 OUTPUT
debug_req_o Yes Yes T8,T26,T9 Yes T7,T8,T26 OUTPUT
unavailable_i Yes Yes T1,T30,T26 Yes T1,T30,T26 INPUT
regs_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_d_i.a_user.data_intg[6:0] Yes Yes T2,T3,T4 Yes T2,T3,T7 INPUT
regs_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T2,T3,T6 Yes T2,T3,T7 INPUT
regs_tl_d_i.a_user.instr_type[3:0] Yes Yes T42,T9,T43 Yes T42,T9,T44 INPUT
regs_tl_d_i.a_user.rsvd[4:0] Yes Yes T42,T9,T44 Yes T42,T9,T44 INPUT
regs_tl_d_i.a_data[31:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
regs_tl_d_i.a_mask[3:0] Yes Yes T7,T42,T9 Yes T42,T9,T44 INPUT
regs_tl_d_i.a_address[31:0] Yes Yes T42,T9,T44 Yes T42,T30,T9 INPUT
regs_tl_d_i.a_source[7:0] Yes Yes T2,T3,T7 Yes T2,T45,T42 INPUT
regs_tl_d_i.a_size[1:0] Yes Yes T2,T3,T4 Yes T2,T3,T5 INPUT
regs_tl_d_i.a_param[2:0] Yes Yes T42,T9,T44 Yes T42,T30,T9 INPUT
regs_tl_d_i.a_opcode[2:0] Yes Yes T2,T3,T7 Yes T2,T3,T4 INPUT
regs_tl_d_i.a_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
regs_tl_d_o.a_ready Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
regs_tl_d_o.d_error Yes Yes T46,T47,T48 Yes T49,T46,T47 OUTPUT
regs_tl_d_o.d_user.data_intg[6:0] Yes Yes T50,T51,T52 Yes T50,T51,T52 OUTPUT
regs_tl_d_o.d_user.rsp_intg[5:0] Yes Yes *T2,*T3,*T4 Yes T2,T3,T4 OUTPUT
regs_tl_d_o.d_user.rsp_intg[6] No No No OUTPUT
regs_tl_d_o.d_data[31:0] Yes Yes T50,T51,T53 Yes T2,T3,T4 OUTPUT
regs_tl_d_o.d_sink No No No OUTPUT
regs_tl_d_o.d_source[7:0] Yes Yes T2,T3,T6 Yes T2,T3,T6 OUTPUT
regs_tl_d_o.d_size[1:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
regs_tl_d_o.d_param[2:0] No No No OUTPUT
regs_tl_d_o.d_opcode[0] Yes Yes *T50,*T49,*T51 Yes T50,T49,T51 OUTPUT
regs_tl_d_o.d_opcode[2:1] No No No OUTPUT
regs_tl_d_o.d_valid Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
mem_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
mem_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T9,T19 Yes T1,T9,T19 INPUT
mem_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T7,T4 Yes T1,T7,T8 INPUT
mem_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T9,T43 Yes T9,T54,T14 INPUT
mem_tl_d_i.a_user.rsvd[4:0] Yes Yes T9,T14,T15 Yes T9,T54,T43 INPUT
mem_tl_d_i.a_data[31:0] Yes Yes T1,T9,T44 Yes T1,T9,T19 INPUT
mem_tl_d_i.a_mask[3:0] Yes Yes T1,T4,T9 Yes T1,T5,T9 INPUT
mem_tl_d_i.a_address[31:0] Yes Yes T1,T5,T9 Yes T1,T9,T19 INPUT
mem_tl_d_i.a_source[7:0] Yes Yes T7,T9,T44 Yes T7,T4,T5 INPUT
mem_tl_d_i.a_size[1:0] Yes Yes T1,T7,T8 Yes T1,T7,T4 INPUT
mem_tl_d_i.a_param[2:0] Yes Yes T9,T36,T14 Yes T4,T5,T9 INPUT
mem_tl_d_i.a_opcode[2:0] Yes Yes T1,T7,T8 Yes T1,T7,T8 INPUT
mem_tl_d_i.a_valid Yes Yes T1,T7,T8 Yes T1,T7,T8 INPUT
mem_tl_d_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
mem_tl_d_o.d_error Yes Yes T1,T2,T3 Yes T9,T37,T38 OUTPUT
mem_tl_d_o.d_user.data_intg[6:0] Yes Yes T1,T19,T13 Yes T1,T19,T13 OUTPUT
mem_tl_d_o.d_user.rsp_intg[5:0] Yes Yes T1,*T7,*T8 Yes T1,T7,T8 OUTPUT
mem_tl_d_o.d_user.rsp_intg[6] No No No OUTPUT
mem_tl_d_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T7,T8 OUTPUT
mem_tl_d_o.d_sink No No No OUTPUT
mem_tl_d_o.d_source[7:0] Yes Yes T7,T9,T12 Yes T1,T7,T9 OUTPUT
mem_tl_d_o.d_size[1:0] Yes Yes T1,T7,T8 Yes T1,T7,T8 OUTPUT
mem_tl_d_o.d_param[2:0] No No No OUTPUT
mem_tl_d_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T9,T19 OUTPUT
mem_tl_d_o.d_opcode[2:1] No No No OUTPUT
mem_tl_d_o.d_valid Yes Yes T1,T7,T8 Yes T1,T7,T8 OUTPUT
sba_tl_h_o.d_ready Yes Yes T9,T37,T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_user.data_intg[6:0] Yes Yes T32,T33,T34 Yes T32,T33,T34 OUTPUT
sba_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T9,T37,T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_user.instr_type[0] Yes Yes *T9,*T37,*T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_user.instr_type[2:1] No No No OUTPUT
sba_tl_h_o.a_user.instr_type[3] Yes Yes T9,T37,T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_user.rsvd[4:0] No No No OUTPUT
sba_tl_h_o.a_data[31:0] Yes Yes T32,T33,T34 Yes T32,T33,T34 OUTPUT
sba_tl_h_o.a_mask[3:0] Yes Yes T9,T37,T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_address[1:0] No No No OUTPUT
sba_tl_h_o.a_address[31:2] Yes Yes T32,T33,T34 Yes T32,T33,T34 OUTPUT
sba_tl_h_o.a_source[7:0] No No No OUTPUT
sba_tl_h_o.a_size[0] No No No OUTPUT
sba_tl_h_o.a_size[1] Yes Yes T9,T37,T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_param[2:0] No No No OUTPUT
sba_tl_h_o.a_opcode[0] Yes Yes *T32,*T33,*T34 Yes T32,T33,T34 OUTPUT
sba_tl_h_o.a_opcode[1] No No No OUTPUT
sba_tl_h_o.a_opcode[2] Yes Yes T9,T37,T38 Yes T1,T2,T3 OUTPUT
sba_tl_h_o.a_valid Yes Yes T32,T33,T34 Yes T32,T33,T34 OUTPUT
sba_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
sba_tl_h_i.d_error Yes Yes T3,T55,T54 Yes T56,T39,T57 INPUT
sba_tl_h_i.d_user.data_intg[6:0] Yes Yes T54,T43,T58 Yes T39,T32,T33 INPUT
sba_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T30,T19,T59 Yes T56,T54,T43 INPUT
sba_tl_h_i.d_data[31:0] Yes Yes T43,T39,T32 Yes T59,T58,T39 INPUT
sba_tl_h_i.d_sink Yes Yes T19,T59,T39 Yes T30,T39,T32 INPUT
sba_tl_h_i.d_source[7:0] Yes Yes T54,T43,T58 Yes T55,T56,T59 INPUT
sba_tl_h_i.d_size[1:0] Yes Yes T30,T54,T39 Yes T3,T55,T56 INPUT
sba_tl_h_i.d_param[2:0] Yes Yes T39,T33,T40 Yes T55,T20,T39 INPUT
sba_tl_h_i.d_opcode[2:0] Yes Yes T19,T39,T32 Yes T3,T55,T56 INPUT
sba_tl_h_i.d_valid Yes Yes T32,T33,T34 Yes T32,T33,T34 INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T2,T3,T4 Yes T2,T3,T4 INPUT
alert_rx_i[0].ping_n No No No INPUT
alert_rx_i[0].ping_p No No No INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
jtag_i.tdi Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
jtag_i.trst_n Yes Yes T9,T37,T38 Yes T1,T2,T3 INPUT
jtag_i.tms Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
jtag_i.tck Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
jtag_o.tdo_oe Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
jtag_o.tdo Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_dm
Line No.TotalCoveredPercent
Branches 7 4 57.14
IF 320 7 4 57.14

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_dm_0.1/rtl/rv_dm.sv' or '../src/lowrisc_ip_rv_dm_0.1/rtl/rv_dm.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 320 if ((!rst_ni)) -2-: 325 if ((ndmreset_req && (!ndmreset_pending_q))) -3-: 327 if ((ndmreset_ack && ndmreset_pending_q)) -4-: 331 if ((ndmreset_pending_q && lc_rst_asserted)) -5-: 333 if ((ndmreset_ack && lc_rst_pending_q))

Branches:
-1--2--3--4--5-StatusTests
1 - - - - Covered T1,T2,T3
0 1 - - - Covered T1,T26,T19
0 0 1 - - Not Covered
0 0 0 - - Covered T1,T2,T3
0 - - 1 - Not Covered
0 - - 0 1 Not Covered
0 - - 0 0 Covered T1,T2,T3


Assert Coverage for Module : rv_dm
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 16 16 100.00 14 87.50
Cover properties 0 0 0
Cover sequences 0 0 0
Total 16 16 100.00 14 87.50




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DebugReqOKnown_A 3308614 3295523 0 0
DmactiveOKnown_A 3308614 3295523 0 0
FpvSecCmRegWeOnehotCheck_A 3308614 70 0 0
FpvSecCmRomTlLcGateFsm_A 3308614 0 0 0
FpvSecCmSbaTlLcGateFsm_A 3308614 0 0 0
JtagRspOTdoKnown_A 1518692 1518680 0 0
JtagRspOTdoOeKnown_A 1518692 1518680 0 0
NdmresetOKnown_A 3308614 3295523 0 0
RvDmLcEnDebugVal_A 3308614 3295523 0 0
TlMemAReadyKnown_A 3308614 3295523 0 0
TlMemDValidKnown_A 3308614 3295523 0 0
TlRegsAReadyKnown_A 3308614 3295523 0 0
TlRegsDValidKnown_A 3308614 3295523 0 0
TlSbaAValidKnown_A 3308614 3295523 0 0
TlSbaDReadyKnown_A 3308614 3295523 0 0
paramCheckNrHarts 103 103 0 0


DebugReqOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

DmactiveOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 70 0 0
T15 322289 0 0 0
T32 44860 0 0 0
T33 32255 0 0 0
T38 7196 20 0 0
T39 9193 20 0 0
T40 0 10 0 0
T57 2565 0 0 0
T60 0 10 0 0
T61 0 10 0 0
T62 1287 0 0 0
T63 1216 0 0 0
T64 2698 0 0 0
T65 1645 0 0 0

FpvSecCmRomTlLcGateFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 0 0 0

FpvSecCmSbaTlLcGateFsm_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 0 0 0

JtagRspOTdoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1518692 1518680 0 0
T1 17191 17191 0 0
T2 154 154 0 0
T3 190 190 0 0
T4 156 156 0 0
T5 166 166 0 0
T6 191 191 0 0
T7 25736 25736 0 0
T8 6895 6895 0 0
T42 168 168 0 0
T45 204 204 0 0

JtagRspOTdoOeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1518692 1518680 0 0
T1 17191 17191 0 0
T2 154 154 0 0
T3 190 190 0 0
T4 156 156 0 0
T5 166 166 0 0
T6 191 191 0 0
T7 25736 25736 0 0
T8 6895 6895 0 0
T42 168 168 0 0
T45 204 204 0 0

NdmresetOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

RvDmLcEnDebugVal_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

TlMemAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

TlMemDValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

TlRegsAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

TlRegsDValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

TlSbaAValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

TlSbaDReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3308614 3295523 0 0
T1 36120 36059 0 0
T2 1738 1680 0 0
T3 2445 2374 0 0
T4 1189 1129 0 0
T5 2870 2820 0 0
T6 1634 1568 0 0
T7 85811 85760 0 0
T8 10816 10739 0 0
T42 2102 2045 0 0
T45 1981 1915 0 0

paramCheckNrHarts
NameAttemptsReal SuccessesFailuresIncomplete
Total 103 103 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T42 1 1 0 0
T45 1 1 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%