Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.54 96.47 89.29 98.77 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 90.79 96.47 89.29 100.00 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.79 96.47 89.29 100.00 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.56 97.59 95.75 98.65 98.66 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.46 92.83 93.54 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 100.00 100.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 75.00 75.00
tl_adapter_host_d_ibex 91.79 95.35 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 96.51 96.51
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.20 98.69 98.55 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS48833100.00
CONT_ASSIGN50811100.00
CONT_ASSIGN50911100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51111100.00
ALWAYS51488100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71411100.00
CONT_ASSIGN71511100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN72011100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73111100.00
CONT_ASSIGN73311100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN74811100.00
CONT_ASSIGN74911100.00
CONT_ASSIGN75011100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75611100.00
ALWAYS7881111100.00
ALWAYS80477100.00
CONT_ASSIGN81511100.00
CONT_ASSIGN83411100.00
CONT_ASSIGN83511100.00
CONT_ASSIGN83611100.00
CONT_ASSIGN839100.00
CONT_ASSIGN84300
CONT_ASSIGN88211100.00
ALWAYS94100
CONT_ASSIGN982100.00
CONT_ASSIGN984100.00
CONT_ASSIGN98611100.00
CONT_ASSIGN98811100.00
CONT_ASSIGN99011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
488 1 1
489 1 1
491 1 1
508 1 1
509 1 1
510 1 1
511 1 1
514 1 1
515 1 1
516 1 1
517 1 1
518 1 1
519 1 1
520 1 1
521 1 1
MISSING_ELSE
698 2 2
699 2 2
700 2 2
704 2 2
705 2 2
706 2 2
713 1 1
714 1 1
715 1 1
718 1 1
720 1 1
722 1 1
724 1 1
731 1 1
733 1 1
735 1 1
737 1 1
747 1 1
748 1 1
749 1 1
750 1 1
753 1 1
756 1 1
788 1 1
789 1 1
790 1 1
792 1 1
793 1 1
794 1 1
795 1 1
796 1 1
797 1 1
798 1 1
799 1 1
MISSING_ELSE
804 1 1
805 1 1
806 1 1
807 1 1
809 1 1
810 1 1
811 1 1
815 1 1
834 1 1
835 1 1
836 1 1
839 0 1
843 unreachable
882 1 1
941 unreachable
942 unreachable
943 unreachable
944 unreachable
==> MISSING_ELSE
982 0 1
984 0 1
986 1 1
988 1 1
990 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT117,T225,T173
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT166,T226,T227
10CoveredT177,T228,T41

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT166,T226,T177

 LINE       731
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT177,T61,T229
10CoveredT1,T2,T3
11CoveredT61,T62,T52

 LINE       733
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT61,T49,T62
10CoveredT1,T2,T3
11CoveredT177,T61,T229

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT177,T61,T229
10CoveredT1,T2,T3
11CoveredT61,T62,T52

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT177,T61,T229
10CoveredT1,T2,T3
11CoveredT61,T49,T62

 LINE       749
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT166,T226,T177
010CoveredT117,T225,T173
100CoveredT230,T231,T232

 LINE       796
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT3,T60,T64
11CoveredT1,T2,T3

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 121 117 96.69
Total Bits 1624 1604 98.77
Total Bits 0->1 812 802 98.77
Total Bits 1->0 812 802 98.77

Ports 121 117 96.69
Port Bits 1624 1604 98.77
Port Bits 0->1 812 802 98.77
Port Bits 1->0 812 802 98.77

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
rst_cpu_n_o Yes Yes T3,T64,T30 Yes T1,T2,T3 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T76,T233,T234 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_error Yes Yes T31,T32,T202 Yes T31,T32,T202 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T31,T32,T202 Yes T31,T32,T202 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_o.d_ready Yes Yes T49,T80,T81 Yes T49,T80,T81 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T63,T77,T235 Yes T63,T77,T235 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T63,T76,T77 Yes T63,T76,T77 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T63,T76,T77 Yes T63,T76,T77 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_error Yes Yes T31,T32,T68 Yes T31,T32,T68 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
irq_software_i Yes Yes T186,T236,T237 Yes T186,T236,T237 INPUT
irq_timer_i Yes Yes T238,T150,T239 Yes T238,T150,T239 INPUT
irq_external_i Yes Yes T2,T60,T90 Yes T2,T60,T90 INPUT
esc_tx_i.esc_n Yes Yes T89,T64,T31 Yes T89,T64,T31 INPUT
esc_tx_i.esc_p Yes Yes T89,T64,T31 Yes T89,T64,T31 INPUT
esc_rx_o.resp_n Yes Yes T89,T64,T31 Yes T89,T64,T31 OUTPUT
esc_rx_o.resp_p Yes Yes T89,T64,T31 Yes T89,T64,T31 OUTPUT
nmi_wdog_i Yes Yes T89,T185,T229 Yes T89,T185,T229 INPUT
debug_req_i Yes Yes T79,T240,T241 Yes T79,T240,T241 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
pwrmgr_o.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T76,*T77,*T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T49,*T52,*T76 Yes T49,T52,T76 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_error Yes Yes T49,T52,T76 Yes T49,T52,T76 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T60,T89,T64 Yes T60,T89,T64 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T60,T89,T64 Yes T60,T89,T64 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T49,*T52,*T76 Yes T49,T52,T76 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T3,T64,T30 Yes T1,T3,T64 INPUT
edn_i.edn_fips Yes Yes T126,T106,T127 Yes T126,T106,T127 INPUT
edn_i.edn_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_otp_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_otp_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
icache_otp_key_o.req Yes Yes T6,T169,T170 Yes T6,T169,T170 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T3,T60,T89 Yes T1,T2,T3 INPUT
icache_otp_key_i.key[127:0] Yes Yes T1,T3,T60 Yes T3,T64,T30 INPUT
icache_otp_key_i.ack Yes Yes T169,T171,T172 Yes T169,T171,T172 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T64,T82,T242 Yes T64,T82,T242 INPUT
alert_rx_i[0].ping_n Yes Yes T64,T82,T85 Yes T64,T82,T85 INPUT
alert_rx_i[0].ping_p Yes Yes T64,T82,T85 Yes T64,T82,T85 INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T177,T82,T61 Yes T177,T82,T61 INPUT
alert_rx_i[1].ping_n Yes Yes T82,T185,T85 Yes T82,T85,T87 INPUT
alert_rx_i[1].ping_p Yes Yes T82,T85,T87 Yes T82,T185,T85 INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T230,T166,T117 Yes T230,T166,T117 INPUT
alert_rx_i[2].ping_n Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_rx_i[2].ping_p Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T82,T61,T49 Yes T82,T61,T49 INPUT
alert_rx_i[3].ping_n Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_rx_i[3].ping_p Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T64,T82,T242 Yes T64,T82,T242 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T177,T82,T61 Yes T177,T82,T61 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T230,T166,T117 Yes T230,T166,T117 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T82,T61,T49 Yes T82,T61,T49 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 488 2 2 100.00
IF 514 3 3 100.00
IF 792 3 3 100.00
IF 804 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T166,T226,T177
0 Covered T1,T2,T3


LineNo. Expression -1-: 488 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 514 if ((!rst_ni)) -2-: 518 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T166,T226,T227
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 792 if (reg2hw.rnd_data.re) -2-: 796 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T60,T64,T90
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 804 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 387366561 5 0 0
FpvSecCmIbexFetchEnable1_A 387366561 23011478 0 60
FpvSecCmIbexFetchEnable2_A 387366561 60850168 0 56
FpvSecCmIbexFetchEnable3Rev_A 387366561 322201819 0 1792
FpvSecCmIbexFetchEnable3_A 387366561 322203552 0 1721
FpvSecCmIbexInstrIntgErrCheck_A 387366561 225 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 387366561 588 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 387366561 0 0 0
FpvSecCmIbexPcMismatchCheck_A 387366561 0 0 0
FpvSecCmIbexRfEccErrCheck_A 387366561 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 387366561 0 0 0
FpvSecCmRegWeOnehotCheck_A 387366561 5 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 387366561 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 387366561 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 387366561 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 902 902 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 902 902 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 902 902 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 902 902 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 902 902 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 387366561 169 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 387366561 202 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 5 0 0
T44 232561 0 0 0
T111 158009 0 0 0
T116 185546 0 0 0
T121 155800 0 0 0
T164 491367 0 0 0
T166 224955 1 0 0
T182 239320 0 0 0
T210 206927 0 0 0
T226 0 1 0 0
T227 0 1 0 0
T243 0 1 0 0
T244 0 1 0 0
T245 147315 0 0 0
T246 136223 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 23011478 0 60
T1 64887 9919 0 0
T2 228578 9919 0 0
T3 338069 19858 0 0
T4 0 0 0 2
T30 414871 29773 0 0
T31 228707 41108 0 0
T41 0 0 0 2
T49 0 0 0 2
T60 107484 9919 0 0
T64 520768 19842 0 0
T65 161886 9931 0 0
T80 0 0 0 2
T81 0 0 0 2
T89 279912 9927 0 0
T90 266189 9919 0 0
T120 0 0 0 2
T167 0 0 0 2
T247 0 0 0 2
T248 0 0 0 2
T249 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 60850168 0 56
T1 64887 34775 0 0
T2 228578 34775 0 0
T3 338069 69554 0 0
T4 0 0 0 2
T7 0 0 0 2
T30 414871 104333 0 0
T31 228707 69551 0 0
T41 0 0 0 2
T49 0 0 0 2
T60 107484 34775 0 0
T64 520768 69555 0 0
T65 161886 38312 0 0
T80 0 0 0 2
T81 0 0 0 2
T89 279912 34775 0 0
T90 266189 34775 0 0
T120 0 0 0 2
T167 0 0 0 2
T248 0 0 0 2
T250 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 322201819 0 1792
T1 64887 30058 0 2
T2 228578 193749 0 2
T3 338069 268401 0 2
T30 414871 310357 0 2
T31 228707 137785 0 2
T60 107484 72651 0 2
T64 520768 513802 0 2
T65 161886 123512 0 2
T89 279912 245076 0 2
T90 266189 231360 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 322203552 0 1721
T1 64887 30059 0 2
T2 228578 193750 0 2
T3 338069 268403 0 2
T30 414871 310360 0 2
T31 228707 137786 0 2
T60 107484 72652 0 2
T64 520768 513802 0 2
T65 161886 123514 0 2
T89 279912 245077 0 2
T90 266189 231361 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 225 0 0
T6 256634 0 0 0
T161 112171 0 0 0
T188 94319 0 0 0
T225 264118 75 0 0
T226 252505 0 0 0
T251 0 75 0 0
T252 0 75 0 0
T253 264378 0 0 0
T254 80953 0 0 0
T255 216979 0 0 0
T256 95238 0 0 0
T257 151736 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 588 0 0
T6 256634 0 0 0
T117 177362 32 0 0
T118 0 32 0 0
T173 0 100 0 0
T203 228536 0 0 0
T225 264118 0 0 0
T253 264378 0 0 0
T254 80953 0 0 0
T258 0 32 0 0
T259 0 100 0 0
T260 0 32 0 0
T261 0 31 0 0
T262 0 1 0 0
T263 0 32 0 0
T264 0 1 0 0
T265 187260 0 0 0
T266 190638 0 0 0
T267 348009 0 0 0
T268 272285 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 5 0 0
T5 164318 0 0 0
T16 167437 0 0 0
T44 232561 0 0 0
T111 158009 0 0 0
T116 185546 0 0 0
T121 155800 0 0 0
T166 224955 0 0 0
T182 239320 0 0 0
T230 153990 1 0 0
T231 0 1 0 0
T232 0 1 0 0
T269 0 1 0 0
T270 0 1 0 0
T271 77810 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 169 0 0
T41 773058 0 0 0
T66 548752 0 0 0
T72 100376 0 0 0
T82 489246 0 0 0
T141 609528 0 0 0
T169 91984 16 0 0
T171 0 38 0 0
T172 0 18 0 0
T178 67647 0 0 0
T197 151065 0 0 0
T228 231483 0 0 0
T272 0 38 0 0
T273 0 18 0 0
T274 0 41 0 0
T275 161528 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 202 0 0
T6 256634 16 0 0
T161 112171 0 0 0
T169 0 42 0 0
T170 0 16 0 0
T171 0 9 0 0
T172 0 42 0 0
T188 94319 0 0 0
T189 226373 0 0 0
T226 252505 0 0 0
T255 216979 0 0 0
T256 95238 0 0 0
T257 151736 0 0 0
T272 0 9 0 0
T273 0 42 0 0
T274 0 10 0 0
T276 0 16 0 0
T277 342815 0 0 0
T278 160130 0 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS48833100.00
CONT_ASSIGN50811100.00
CONT_ASSIGN50911100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51111100.00
ALWAYS51488100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69811100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN69911100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70011100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70511100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71411100.00
CONT_ASSIGN71511100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN72011100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73111100.00
CONT_ASSIGN73311100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN74811100.00
CONT_ASSIGN74911100.00
CONT_ASSIGN75011100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75611100.00
ALWAYS7881111100.00
ALWAYS80477100.00
CONT_ASSIGN81511100.00
CONT_ASSIGN83411100.00
CONT_ASSIGN83511100.00
CONT_ASSIGN83611100.00
CONT_ASSIGN839100.00
CONT_ASSIGN84300
CONT_ASSIGN88211100.00
ALWAYS94100
CONT_ASSIGN982100.00
CONT_ASSIGN984100.00
CONT_ASSIGN98611100.00
CONT_ASSIGN98811100.00
CONT_ASSIGN99011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
488 1 1
489 1 1
491 1 1
508 1 1
509 1 1
510 1 1
511 1 1
514 1 1
515 1 1
516 1 1
517 1 1
518 1 1
519 1 1
520 1 1
521 1 1
MISSING_ELSE
698 2 2
699 2 2
700 2 2
704 2 2
705 2 2
706 2 2
713 1 1
714 1 1
715 1 1
718 1 1
720 1 1
722 1 1
724 1 1
731 1 1
733 1 1
735 1 1
737 1 1
747 1 1
748 1 1
749 1 1
750 1 1
753 1 1
756 1 1
788 1 1
789 1 1
790 1 1
792 1 1
793 1 1
794 1 1
795 1 1
796 1 1
797 1 1
798 1 1
799 1 1
MISSING_ELSE
804 1 1
805 1 1
806 1 1
807 1 1
809 1 1
810 1 1
811 1 1
815 1 1
834 1 1
835 1 1
836 1 1
839 0 1
843 unreachable
882 1 1
941 unreachable
942 unreachable
943 unreachable
944 unreachable
==> MISSING_ELSE
982 0 1
984 0 1
986 1 1
988 1 1
990 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT117,T225,T173
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT166,T226,T227
10CoveredT177,T228,T41

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT166,T226,T177

 LINE       731
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT177,T61,T229
10CoveredT1,T2,T3
11CoveredT61,T62,T52

 LINE       733
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT61,T49,T62
10CoveredT1,T2,T3
11CoveredT177,T61,T229

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT177,T61,T229
10CoveredT1,T2,T3
11CoveredT61,T62,T52

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT177,T61,T229
10CoveredT1,T2,T3
11CoveredT61,T49,T62

 LINE       749
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT166,T226,T177
010CoveredT117,T225,T173
100CoveredT230,T231,T232

 LINE       796
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT3,T60,T64
11CoveredT1,T2,T3

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 117 117 100.00
Total Bits 1604 1604 100.00
Total Bits 0->1 802 802 100.00
Total Bits 1->0 802 802 100.00

Ports 117 117 100.00
Port Bits 1604 1604 100.00
Port Bits 0->1 802 802 100.00
Port Bits 1->0 802 802 100.00

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
rst_cpu_n_o Yes Yes T3,T64,T30 Yes T1,T2,T3 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T76,T233,T234 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
corei_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
corei_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_error Yes Yes T31,T32,T202 Yes T31,T32,T202 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T31,T32,T202 Yes T31,T32,T202 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_o.d_ready Yes Yes T49,T80,T81 Yes T49,T80,T81 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T63,T77,T235 Yes T63,T77,T235 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T63,T76,T77 Yes T63,T76,T77 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T63,T76,T77 Yes T63,T76,T77 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_error Yes Yes T31,T32,T68 Yes T31,T32,T68 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
irq_software_i Yes Yes T186,T236,T237 Yes T186,T236,T237 INPUT
irq_timer_i Yes Yes T238,T150,T239 Yes T238,T150,T239 INPUT
irq_external_i Yes Yes T2,T60,T90 Yes T2,T60,T90 INPUT
esc_tx_i.esc_n Yes Yes T89,T64,T31 Yes T89,T64,T31 INPUT
esc_tx_i.esc_p Yes Yes T89,T64,T31 Yes T89,T64,T31 INPUT
esc_rx_o.resp_n Yes Yes T89,T64,T31 Yes T89,T64,T31 OUTPUT
esc_rx_o.resp_p Yes Yes T89,T64,T31 Yes T89,T64,T31 OUTPUT
nmi_wdog_i Yes Yes T89,T185,T229 Yes T89,T185,T229 INPUT
debug_req_i Yes Yes T79,T240,T241 Yes T79,T240,T241 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
pwrmgr_o.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T76,*T77,*T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T49,*T52,*T76 Yes T49,T52,T76 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 INPUT
cfg_tl_d_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_error Yes Yes T49,T52,T76 Yes T49,T52,T76 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T60,T89,T64 Yes T60,T89,T64 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T60,T89,T64 Yes T60,T89,T64 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T49,*T52,*T76 Yes T49,T52,T76 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T76,T77,T78 Yes T76,T77,T78 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T3,T64,T30 Yes T1,T3,T64 INPUT
edn_i.edn_fips Yes Yes T126,T106,T127 Yes T126,T106,T127 INPUT
edn_i.edn_ack Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
clk_otp_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_otp_ni Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
icache_otp_key_o.req Yes Yes T6,T169,T170 Yes T6,T169,T170 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T3,T64,T30 Yes T1,T2,T3 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T3,T60,T89 Yes T1,T2,T3 INPUT
icache_otp_key_i.key[127:0] Yes Yes T1,T3,T60 Yes T3,T64,T30 INPUT
icache_otp_key_i.ack Yes Yes T169,T171,T172 Yes T169,T171,T172 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T64,T82,T242 Yes T64,T82,T242 INPUT
alert_rx_i[0].ping_n Yes Yes T64,T82,T85 Yes T64,T82,T85 INPUT
alert_rx_i[0].ping_p Yes Yes T64,T82,T85 Yes T64,T82,T85 INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T177,T82,T61 Yes T177,T82,T61 INPUT
alert_rx_i[1].ping_n Yes Yes T82,T185,T85 Yes T82,T85,T87 INPUT
alert_rx_i[1].ping_p Yes Yes T82,T85,T87 Yes T82,T185,T85 INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T230,T166,T117 Yes T230,T166,T117 INPUT
alert_rx_i[2].ping_n Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_rx_i[2].ping_p Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T82,T61,T49 Yes T82,T61,T49 INPUT
alert_rx_i[3].ping_n Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_rx_i[3].ping_p Yes Yes T82,T85,T87 Yes T82,T85,T87 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T64,T82,T242 Yes T64,T82,T242 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T177,T82,T61 Yes T177,T82,T61 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T230,T166,T117 Yes T230,T166,T117 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T82,T61,T49 Yes T82,T61,T49 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 488 2 2 100.00
IF 514 3 3 100.00
IF 792 3 3 100.00
IF 804 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T166,T226,T177
0 Covered T1,T2,T3


LineNo. Expression -1-: 488 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 514 if ((!rst_ni)) -2-: 518 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T166,T226,T227
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 792 if (reg2hw.rnd_data.re) -2-: 796 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T60,T64,T90
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 804 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 387366561 5 0 0
FpvSecCmIbexFetchEnable1_A 387366561 23011478 0 60
FpvSecCmIbexFetchEnable2_A 387366561 60850168 0 56
FpvSecCmIbexFetchEnable3Rev_A 387366561 322201819 0 1792
FpvSecCmIbexFetchEnable3_A 387366561 322203552 0 1721
FpvSecCmIbexInstrIntgErrCheck_A 387366561 225 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 387366561 588 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 387366561 0 0 0
FpvSecCmIbexPcMismatchCheck_A 387366561 0 0 0
FpvSecCmIbexRfEccErrCheck_A 387366561 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 387366561 0 0 0
FpvSecCmRegWeOnehotCheck_A 387366561 5 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 387366561 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 387366561 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 387366561 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 902 902 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 902 902 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 902 902 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 902 902 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 902 902 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 387366561 169 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 387366561 202 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 5 0 0
T44 232561 0 0 0
T111 158009 0 0 0
T116 185546 0 0 0
T121 155800 0 0 0
T164 491367 0 0 0
T166 224955 1 0 0
T182 239320 0 0 0
T210 206927 0 0 0
T226 0 1 0 0
T227 0 1 0 0
T243 0 1 0 0
T244 0 1 0 0
T245 147315 0 0 0
T246 136223 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 23011478 0 60
T1 64887 9919 0 0
T2 228578 9919 0 0
T3 338069 19858 0 0
T4 0 0 0 2
T30 414871 29773 0 0
T31 228707 41108 0 0
T41 0 0 0 2
T49 0 0 0 2
T60 107484 9919 0 0
T64 520768 19842 0 0
T65 161886 9931 0 0
T80 0 0 0 2
T81 0 0 0 2
T89 279912 9927 0 0
T90 266189 9919 0 0
T120 0 0 0 2
T167 0 0 0 2
T247 0 0 0 2
T248 0 0 0 2
T249 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 60850168 0 56
T1 64887 34775 0 0
T2 228578 34775 0 0
T3 338069 69554 0 0
T4 0 0 0 2
T7 0 0 0 2
T30 414871 104333 0 0
T31 228707 69551 0 0
T41 0 0 0 2
T49 0 0 0 2
T60 107484 34775 0 0
T64 520768 69555 0 0
T65 161886 38312 0 0
T80 0 0 0 2
T81 0 0 0 2
T89 279912 34775 0 0
T90 266189 34775 0 0
T120 0 0 0 2
T167 0 0 0 2
T248 0 0 0 2
T250 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 322201819 0 1792
T1 64887 30058 0 2
T2 228578 193749 0 2
T3 338069 268401 0 2
T30 414871 310357 0 2
T31 228707 137785 0 2
T60 107484 72651 0 2
T64 520768 513802 0 2
T65 161886 123512 0 2
T89 279912 245076 0 2
T90 266189 231360 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 322203552 0 1721
T1 64887 30059 0 2
T2 228578 193750 0 2
T3 338069 268403 0 2
T30 414871 310360 0 2
T31 228707 137786 0 2
T60 107484 72652 0 2
T64 520768 513802 0 2
T65 161886 123514 0 2
T89 279912 245077 0 2
T90 266189 231361 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 225 0 0
T6 256634 0 0 0
T161 112171 0 0 0
T188 94319 0 0 0
T225 264118 75 0 0
T226 252505 0 0 0
T251 0 75 0 0
T252 0 75 0 0
T253 264378 0 0 0
T254 80953 0 0 0
T255 216979 0 0 0
T256 95238 0 0 0
T257 151736 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 588 0 0
T6 256634 0 0 0
T117 177362 32 0 0
T118 0 32 0 0
T173 0 100 0 0
T203 228536 0 0 0
T225 264118 0 0 0
T253 264378 0 0 0
T254 80953 0 0 0
T258 0 32 0 0
T259 0 100 0 0
T260 0 32 0 0
T261 0 31 0 0
T262 0 1 0 0
T263 0 32 0 0
T264 0 1 0 0
T265 187260 0 0 0
T266 190638 0 0 0
T267 348009 0 0 0
T268 272285 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 5 0 0
T5 164318 0 0 0
T16 167437 0 0 0
T44 232561 0 0 0
T111 158009 0 0 0
T116 185546 0 0 0
T121 155800 0 0 0
T166 224955 0 0 0
T182 239320 0 0 0
T230 153990 1 0 0
T231 0 1 0 0
T232 0 1 0 0
T269 0 1 0 0
T270 0 1 0 0
T271 77810 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 902 902 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T60 1 1 0 0
T64 1 1 0 0
T65 1 1 0 0
T89 1 1 0 0
T90 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 169 0 0
T41 773058 0 0 0
T66 548752 0 0 0
T72 100376 0 0 0
T82 489246 0 0 0
T141 609528 0 0 0
T169 91984 16 0 0
T171 0 38 0 0
T172 0 18 0 0
T178 67647 0 0 0
T197 151065 0 0 0
T228 231483 0 0 0
T272 0 38 0 0
T273 0 18 0 0
T274 0 41 0 0
T275 161528 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 387366561 202 0 0
T6 256634 16 0 0
T161 112171 0 0 0
T169 0 42 0 0
T170 0 16 0 0
T171 0 9 0 0
T172 0 42 0 0
T188 94319 0 0 0
T189 226373 0 0 0
T226 252505 0 0 0
T255 216979 0 0 0
T256 95238 0 0 0
T257 151736 0 0 0
T272 0 9 0 0
T273 0 42 0 0
T274 0 10 0 0
T276 0 16 0 0
T277 342815 0 0 0
T278 160130 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%