Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.02 94.12 89.29 98.53 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 90.27 94.12 89.29 99.75 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.27 94.12 89.29 99.75 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.41 97.42 95.75 98.10 98.66 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.34 90.68 89.34 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 75.00 75.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 79.17 79.17
tl_adapter_host_d_ibex 91.79 95.35 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 95.91 95.91
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.20 98.69 98.55 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858094.12
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN752100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN760100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 0 1
753 1 1
754 1 1
757 1 1
760 0 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT157,T248,T249
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT250,T251,T252
10CoveredT63,T224,T253

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT63,T224,T253

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT63,T48,T254
10CoveredT1,T2,T4
11CoveredT48,T49,T50

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT48,T49,T50
10CoveredT1,T2,T4
11CoveredT63,T48,T254

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT63,T48,T254
10CoveredT1,T2,T4
11CoveredT48,T49,T50

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT63,T48,T254
10CoveredT1,T2,T4
11CoveredT48,T49,T50

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT63,T224,T253
010CoveredT157,T248,T249
100CoveredT5,T255,T256

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT2,T4,T5
11CoveredT1,T2,T4

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 123 117 95.12
Total Bits 1628 1604 98.53
Total Bits 0->1 814 802 98.53
Total Bits 1->0 814 802 98.53

Ports 123 117 95.12
Port Bits 1628 1604 98.53
Port Bits 0->1 814 802 98.53
Port Bits 1->0 814 802 98.53

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
rst_cpu_n_o Yes Yes T3,T5,T6 Yes T1,T2,T3 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T72,T74,T214 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T74,T257,T258 Yes T74,T257,T258 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
corei_tl_h_i.a_ready Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_error Yes Yes T5,T204,T226 Yes T5,T204,T226 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T5,T157,T204 Yes T5,T157,T204 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_sink Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cored_tl_h_o.d_ready Yes Yes T3,T68,T76 Yes T3,T68,T76 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T68,T74,T178 Yes T68,T74,T178 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T68,T72,T73 Yes T68,T72,T73 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T68,T72,T73 Yes T68,T72,T73 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_error Yes Yes T5,T62,T157 Yes T5,T62,T157 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_sink Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
irq_software_i Yes Yes T259,T87,T260 Yes T259,T87,T260 INPUT
irq_timer_i Yes Yes T81,T180,T261 Yes T81,T180,T261 INPUT
irq_external_i Yes Yes T2,T5,T62 Yes T2,T5,T62 INPUT
esc_tx_i.esc_n Yes Yes T3,T5,T62 Yes T3,T5,T62 INPUT
esc_tx_i.esc_p Yes Yes T3,T5,T62 Yes T3,T5,T62 INPUT
esc_rx_o.resp_n Yes Yes T3,T5,T62 Yes T3,T5,T62 OUTPUT
esc_rx_o.resp_p Yes Yes T3,T5,T62 Yes T3,T5,T62 OUTPUT
nmi_wdog_i Yes Yes T3,T262,T98 Yes T3,T262,T98 INPUT
debug_req_i Yes Yes T263,T264,T265 Yes T263,T264,T265 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T2,T3,T5 Yes T1,T2,T3 INPUT
pwrmgr_o.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T72,*T73,*T178 Yes T72,T73,T178 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T72,*T214,*T257 Yes T72,T214,T257 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T72,T178,T214 Yes T72,T178,T214 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T72,T73,T178 Yes T72,T73,T178 INPUT
cfg_tl_d_i.a_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_o.a_ready Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
cfg_tl_d_o.d_error Yes Yes T72,T73,T214 Yes T72,T73,T214 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T2,T4,T5 Yes T2,T4,T5 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T2,T4,T5 Yes T2,T4,T5 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T72,T73,T214 Yes T72,T73,T74 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T72,*T73,*T214 Yes T72,T73,T214 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T72,T73,T178 Yes T72,T73,T178 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T4,T5,T44 Yes T1,T4,T5 INPUT
edn_i.edn_fips Yes Yes T122,T108,T111 Yes T4,T121,T122 INPUT
edn_i.edn_ack Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
clk_otp_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_otp_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
icache_otp_key_o.req Yes Yes T160,T208,T209 Yes T160,T208,T209 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T5,T6,T62 Yes T1,T2,T4 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T6,T81,T82 Yes T2,T6,T81 INPUT
icache_otp_key_i.key[127:0] Yes Yes T2,T5,T6 Yes T5,T6,T82 INPUT
icache_otp_key_i.ack Yes Yes T160,T210,T211 Yes T160,T210,T211 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T266,T267,T48 Yes T266,T267,T48 INPUT
alert_rx_i[0].ping_n Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_rx_i[0].ping_p Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T63,T48,T254 Yes T63,T48,T254 INPUT
alert_rx_i[1].ping_n Yes Yes T78,T187,T79 Yes T78,T187,T79 INPUT
alert_rx_i[1].ping_p Yes Yes T78,T187,T79 Yes T78,T187,T79 INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T5,T157,T248 Yes T5,T157,T248 INPUT
alert_rx_i[2].ping_n Yes Yes T78,T79,T80 Yes T80,T268,T269 INPUT
alert_rx_i[2].ping_p Yes Yes T80,T268,T269 Yes T78,T79,T80 INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T48,T78,T79 Yes T48,T78,T79 INPUT
alert_rx_i[3].ping_n Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_rx_i[3].ping_p Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T266,T267,T48 Yes T266,T267,T48 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T63,T48,T254 Yes T63,T48,T254 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T5,T157,T248 Yes T5,T157,T248 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T48,T78,T79 Yes T48,T78,T79 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T63,T224,T253
0 Covered T1,T2,T3


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T250,T251,T252
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T5
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T4


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 522005907 5 0 0
FpvSecCmIbexFetchEnable1_A 522005907 24825206 0 106
FpvSecCmIbexFetchEnable2_A 522005907 66020274 0 88
FpvSecCmIbexFetchEnable3Rev_A 522005907 451394802 0 2020
FpvSecCmIbexFetchEnable3_A 522005907 451396664 0 1908
FpvSecCmIbexInstrIntgErrCheck_A 522005907 77 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 522005907 590 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 522005907 0 0 0
FpvSecCmIbexPcMismatchCheck_A 522005907 0 0 0
FpvSecCmIbexRfEccErrCheck_A 522005907 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 522005907 0 0 0
FpvSecCmRegWeOnehotCheck_A 522005907 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 522005907 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 522005907 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 522005907 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1019 1019 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1019 1019 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1019 1019 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1019 1019 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1019 1019 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 522005907 219 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 522005907 204 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 5 0 0
T25 212719 0 0 0
T38 130314 0 0 0
T250 199228 1 0 0
T251 0 1 0 0
T252 0 1 0 0
T270 0 1 0 0
T271 0 1 0 0
T272 199503 0 0 0
T273 238218 0 0 0
T274 212291 0 0 0
T275 95631 0 0 0
T276 571261 0 0 0
T277 108070 0 0 0
T278 340697 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 24825206 0 106
T1 92725 9931 0 0
T2 196593 9919 0 0
T3 104590 10111 0 2
T4 348113 9927 0 0
T5 228447 40608 0 0
T6 391930 123912 0 0
T7 412156 80353 0 0
T41 0 0 0 2
T42 0 0 0 2
T44 134641 9931 0 0
T61 0 0 0 2
T68 0 0 0 2
T81 90818 9927 0 0
T82 108103 9927 0 0
T148 0 0 0 2
T149 0 0 0 2
T203 0 0 0 2
T279 0 0 0 2
T280 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 66020274 0 88
T1 92725 34775 0 0
T2 196593 36291 0 0
T3 104590 34955 0 2
T4 348113 34775 0 0
T5 228447 69555 0 0
T6 391930 208646 0 0
T7 412156 173884 0 0
T41 0 0 0 2
T42 0 0 0 2
T44 134641 34775 0 0
T68 0 0 0 2
T81 90818 34775 0 0
T82 108103 34775 0 0
T148 0 0 0 2
T149 0 0 0 2
T203 0 0 0 2
T279 0 0 0 2
T280 0 0 0 2
T281 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 451394802 0 2020
T1 92725 57885 0 2
T2 196593 160243 0 2
T3 104590 101083 0 2
T4 348113 313277 0 2
T5 228447 138016 0 2
T6 391930 168275 0 2
T7 412156 394150 0 2
T44 134641 131157 0 2
T81 90818 55982 0 2
T82 108103 73263 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 451396664 0 1908
T1 92725 57886 0 2
T2 196593 160245 0 2
T3 104590 101083 0 0
T4 348113 313278 0 2
T5 228447 138018 0 2
T6 391930 168279 0 2
T7 412156 394150 0 2
T44 134641 131158 0 2
T81 90818 55983 0 2
T82 108103 73264 0 2
T121 0 0 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 77 0 0
T84 144021 0 0 0
T238 100342 0 0 0
T265 120306 0 0 0
T282 274504 77 0 0
T283 133148 0 0 0
T284 345158 0 0 0
T285 298337 0 0 0
T286 50643 0 0 0
T287 311840 0 0 0
T288 154829 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 590 0 0
T18 86407 0 0 0
T46 135968 0 0 0
T157 294868 1 0 0
T158 233013 0 0 0
T184 140944 0 0 0
T207 0 31 0 0
T248 0 100 0 0
T249 0 1 0 0
T289 0 1 0 0
T290 0 32 0 0
T291 0 32 0 0
T292 0 1 0 0
T293 0 100 0 0
T294 0 32 0 0
T295 87851 0 0 0
T296 71716 0 0 0
T297 128659 0 0 0
T298 147961 0 0 0
T299 74542 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 3 0 0
T5 228447 1 0 0
T6 391930 0 0 0
T7 412156 0 0 0
T32 100995 0 0 0
T44 134641 0 0 0
T81 90818 0 0 0
T82 108103 0 0 0
T121 348120 0 0 0
T160 77008 0 0 0
T255 0 1 0 0
T256 0 1 0 0
T300 123684 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 219 0 0
T17 100745 0 0 0
T32 100995 0 0 0
T61 39241 0 0 0
T62 249787 0 0 0
T67 577998 0 0 0
T115 201511 0 0 0
T160 77008 32 0 0
T180 197221 0 0 0
T210 0 45 0 0
T211 0 32 0 0
T300 123684 0 0 0
T301 0 49 0 0
T302 0 32 0 0
T303 0 29 0 0
T304 252963 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 204 0 0
T17 100745 0 0 0
T32 100995 0 0 0
T61 39241 0 0 0
T62 249787 0 0 0
T67 577998 0 0 0
T115 201511 0 0 0
T160 77008 42 0 0
T180 197221 0 0 0
T208 0 16 0 0
T209 0 16 0 0
T210 0 11 0 0
T211 0 42 0 0
T287 0 16 0 0
T300 123684 0 0 0
T301 0 12 0 0
T302 0 42 0 0
T303 0 7 0 0
T304 252963 0 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858094.12
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN752100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN760100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 0 1
753 1 1
754 1 1
757 1 1
760 0 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT157,T248,T249
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT250,T251,T252
10CoveredT63,T224,T253

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT63,T224,T253

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT63,T48,T254
10CoveredT1,T2,T4
11CoveredT48,T49,T50

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT48,T49,T50
10CoveredT1,T2,T4
11CoveredT63,T48,T254

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT63,T48,T254
10CoveredT1,T2,T4
11CoveredT48,T49,T50

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT63,T48,T254
10CoveredT1,T2,T4
11CoveredT48,T49,T50

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT63,T224,T253
010CoveredT157,T248,T249
100CoveredT5,T255,T256

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT2,T4,T5
11CoveredT1,T2,T4

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 119 117 98.32
Total Bits 1608 1604 99.75
Total Bits 0->1 804 802 99.75
Total Bits 1->0 804 802 99.75

Ports 119 117 98.32
Port Bits 1608 1604 99.75
Port Bits 0->1 804 802 99.75
Port Bits 1->0 804 802 99.75

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
clk_edn_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_edn_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
clk_esc_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_esc_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
rst_cpu_n_o Yes Yes T3,T5,T6 Yes T1,T2,T3 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T72,T74,T214 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T74,T257,T258 Yes T74,T257,T258 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 OUTPUT
corei_tl_h_o.a_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
corei_tl_h_i.a_ready Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_error Yes Yes T5,T204,T226 Yes T5,T204,T226 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T5,T157,T204 Yes T5,T157,T204 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_sink Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cored_tl_h_o.d_ready Yes Yes T3,T68,T76 Yes T3,T68,T76 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T68,T74,T178 Yes T68,T74,T178 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T68,T72,T73 Yes T68,T72,T73 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T68,T72,T73 Yes T68,T72,T73 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_o.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
cored_tl_h_i.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_error Yes Yes T5,T62,T157 Yes T5,T62,T157 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_sink Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T1,*T2,T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T72,T73,T74 Yes T72,T73,T74 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
irq_software_i Yes Yes T259,T87,T260 Yes T259,T87,T260 INPUT
irq_timer_i Yes Yes T81,T180,T261 Yes T81,T180,T261 INPUT
irq_external_i Yes Yes T2,T5,T62 Yes T2,T5,T62 INPUT
esc_tx_i.esc_n Yes Yes T3,T5,T62 Yes T3,T5,T62 INPUT
esc_tx_i.esc_p Yes Yes T3,T5,T62 Yes T3,T5,T62 INPUT
esc_rx_o.resp_n Yes Yes T3,T5,T62 Yes T3,T5,T62 OUTPUT
esc_rx_o.resp_p Yes Yes T3,T5,T62 Yes T3,T5,T62 OUTPUT
nmi_wdog_i Yes Yes T3,T262,T98 Yes T3,T262,T98 INPUT
debug_req_i Yes Yes T263,T264,T265 Yes T263,T264,T265 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T2,T3,T5 Yes T1,T2,T3 INPUT
pwrmgr_o.core_sleeping Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T72,*T73,*T178 Yes T72,T73,T178 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T72,*T214,*T257 Yes T72,T214,T257 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T72,T178,T214 Yes T72,T178,T214 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T72,T73,T178 Yes T72,T73,T178 INPUT
cfg_tl_d_i.a_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
cfg_tl_d_o.a_ready Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
cfg_tl_d_o.d_error Yes Yes T72,T73,T214 Yes T72,T73,T214 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T2,T4,T5 Yes T2,T4,T5 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T2,T4,T5 Yes T2,T4,T5 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T72,T73,T214 Yes T72,T73,T74 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T72,*T73,*T214 Yes T72,T73,T214 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T72,T73,T178 Yes T72,T73,T178 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
edn_o.edn_req Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T4,T5,T44 Yes T1,T4,T5 INPUT
edn_i.edn_fips Yes Yes T122,T108,T111 Yes T4,T121,T122 INPUT
edn_i.edn_ack Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
clk_otp_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_otp_ni Yes Yes T3,T5,T6 Yes T1,T2,T3 INPUT
icache_otp_key_o.req Yes Yes T160,T208,T209 Yes T160,T208,T209 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T5,T6,T62 Yes T1,T2,T4 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T6,T81,T82 Yes T2,T6,T81 INPUT
icache_otp_key_i.key[127:0] Yes Yes T2,T5,T6 Yes T5,T6,T82 INPUT
icache_otp_key_i.ack Yes Yes T160,T210,T211 Yes T160,T210,T211 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T266,T267,T48 Yes T266,T267,T48 INPUT
alert_rx_i[0].ping_n Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_rx_i[0].ping_p Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_rx_i[1].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[1].ack_p Yes Yes T63,T48,T254 Yes T63,T48,T254 INPUT
alert_rx_i[1].ping_n Yes Yes T78,T187,T79 Yes T78,T187,T79 INPUT
alert_rx_i[1].ping_p Yes Yes T78,T187,T79 Yes T78,T187,T79 INPUT
alert_rx_i[2].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[2].ack_p Yes Yes T5,T157,T248 Yes T5,T157,T248 INPUT
alert_rx_i[2].ping_n Yes Yes T78,T79,T80 Yes T80,T268,T269 INPUT
alert_rx_i[2].ping_p Yes Yes T80,T268,T269 Yes T78,T79,T80 INPUT
alert_rx_i[3].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[3].ack_p Yes Yes T48,T78,T79 Yes T48,T78,T79 INPUT
alert_rx_i[3].ping_n Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_rx_i[3].ping_p Yes Yes T78,T79,T80 Yes T78,T79,T80 INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T266,T267,T48 Yes T266,T267,T48 OUTPUT
alert_tx_o[1].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[1].alert_p Yes Yes T63,T48,T254 Yes T63,T48,T254 OUTPUT
alert_tx_o[2].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[2].alert_p Yes Yes T5,T157,T248 Yes T5,T157,T248 OUTPUT
alert_tx_o[3].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[3].alert_p Yes Yes T48,T78,T79 Yes T48,T78,T79 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T63,T224,T253
0 Covered T1,T2,T3


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T250,T251,T252
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T5
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T4


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 522005907 5 0 0
FpvSecCmIbexFetchEnable1_A 522005907 24825206 0 106
FpvSecCmIbexFetchEnable2_A 522005907 66020274 0 88
FpvSecCmIbexFetchEnable3Rev_A 522005907 451394802 0 2020
FpvSecCmIbexFetchEnable3_A 522005907 451396664 0 1908
FpvSecCmIbexInstrIntgErrCheck_A 522005907 77 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 522005907 590 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 522005907 0 0 0
FpvSecCmIbexPcMismatchCheck_A 522005907 0 0 0
FpvSecCmIbexRfEccErrCheck_A 522005907 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 522005907 0 0 0
FpvSecCmRegWeOnehotCheck_A 522005907 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 522005907 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 522005907 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 522005907 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1019 1019 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1019 1019 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1019 1019 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1019 1019 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1019 1019 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 522005907 219 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 522005907 204 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 5 0 0
T25 212719 0 0 0
T38 130314 0 0 0
T250 199228 1 0 0
T251 0 1 0 0
T252 0 1 0 0
T270 0 1 0 0
T271 0 1 0 0
T272 199503 0 0 0
T273 238218 0 0 0
T274 212291 0 0 0
T275 95631 0 0 0
T276 571261 0 0 0
T277 108070 0 0 0
T278 340697 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 24825206 0 106
T1 92725 9931 0 0
T2 196593 9919 0 0
T3 104590 10111 0 2
T4 348113 9927 0 0
T5 228447 40608 0 0
T6 391930 123912 0 0
T7 412156 80353 0 0
T41 0 0 0 2
T42 0 0 0 2
T44 134641 9931 0 0
T61 0 0 0 2
T68 0 0 0 2
T81 90818 9927 0 0
T82 108103 9927 0 0
T148 0 0 0 2
T149 0 0 0 2
T203 0 0 0 2
T279 0 0 0 2
T280 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 66020274 0 88
T1 92725 34775 0 0
T2 196593 36291 0 0
T3 104590 34955 0 2
T4 348113 34775 0 0
T5 228447 69555 0 0
T6 391930 208646 0 0
T7 412156 173884 0 0
T41 0 0 0 2
T42 0 0 0 2
T44 134641 34775 0 0
T68 0 0 0 2
T81 90818 34775 0 0
T82 108103 34775 0 0
T148 0 0 0 2
T149 0 0 0 2
T203 0 0 0 2
T279 0 0 0 2
T280 0 0 0 2
T281 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 451394802 0 2020
T1 92725 57885 0 2
T2 196593 160243 0 2
T3 104590 101083 0 2
T4 348113 313277 0 2
T5 228447 138016 0 2
T6 391930 168275 0 2
T7 412156 394150 0 2
T44 134641 131157 0 2
T81 90818 55982 0 2
T82 108103 73263 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 451396664 0 1908
T1 92725 57886 0 2
T2 196593 160245 0 2
T3 104590 101083 0 0
T4 348113 313278 0 2
T5 228447 138018 0 2
T6 391930 168279 0 2
T7 412156 394150 0 2
T44 134641 131158 0 2
T81 90818 55983 0 2
T82 108103 73264 0 2
T121 0 0 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 77 0 0
T84 144021 0 0 0
T238 100342 0 0 0
T265 120306 0 0 0
T282 274504 77 0 0
T283 133148 0 0 0
T284 345158 0 0 0
T285 298337 0 0 0
T286 50643 0 0 0
T287 311840 0 0 0
T288 154829 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 590 0 0
T18 86407 0 0 0
T46 135968 0 0 0
T157 294868 1 0 0
T158 233013 0 0 0
T184 140944 0 0 0
T207 0 31 0 0
T248 0 100 0 0
T249 0 1 0 0
T289 0 1 0 0
T290 0 32 0 0
T291 0 32 0 0
T292 0 1 0 0
T293 0 100 0 0
T294 0 32 0 0
T295 87851 0 0 0
T296 71716 0 0 0
T297 128659 0 0 0
T298 147961 0 0 0
T299 74542 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 3 0 0
T5 228447 1 0 0
T6 391930 0 0 0
T7 412156 0 0 0
T32 100995 0 0 0
T44 134641 0 0 0
T81 90818 0 0 0
T82 108103 0 0 0
T121 348120 0 0 0
T160 77008 0 0 0
T255 0 1 0 0
T256 0 1 0 0
T300 123684 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1019 1019 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T44 1 1 0 0
T81 1 1 0 0
T82 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 219 0 0
T17 100745 0 0 0
T32 100995 0 0 0
T61 39241 0 0 0
T62 249787 0 0 0
T67 577998 0 0 0
T115 201511 0 0 0
T160 77008 32 0 0
T180 197221 0 0 0
T210 0 45 0 0
T211 0 32 0 0
T300 123684 0 0 0
T301 0 49 0 0
T302 0 32 0 0
T303 0 29 0 0
T304 252963 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 522005907 204 0 0
T17 100745 0 0 0
T32 100995 0 0 0
T61 39241 0 0 0
T62 249787 0 0 0
T67 577998 0 0 0
T115 201511 0 0 0
T160 77008 42 0 0
T180 197221 0 0 0
T208 0 16 0 0
T209 0 16 0 0
T210 0 11 0 0
T211 0 42 0 0
T287 0 16 0 0
T300 123684 0 0 0
T301 0 12 0 0
T302 0 42 0 0
T303 0 7 0 0
T304 252963 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%