Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_i_ibex.u_rsp_chk

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.33 100.00 80.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.33 100.00 80.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
87.26 90.91 69.23 88.89 100.00 tl_adapter_host_i_ibex


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_d_ibex.u_rsp_chk

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.33 100.00 80.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.33 100.00 80.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
90.91 91.30 82.35 90.00 100.00 tl_adapter_host_d_ibex


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : tlul_rsp_intg_chk
Line No.TotalCoveredPercent
TOTAL33100.00
CONT_ASSIGN2311100.00
CONT_ASSIGN4711100.00
CONT_ASSIGN5011100.00

22 tl_d2h_rsp_intg_t rsp; 23 1/1 assign rsp = extract_d2h_rsp_intg(tl_i); Tests: T1 T2 T3  24 25 prim_secded_inv_64_57_dec u_chk ( 26 .data_i({tl_i.d_user.rsp_intg, D2HRspMaxWidth'(rsp)}), 27 .data_o(), 28 .syndrome_o(), 29 .err_o(rsp_err) 30 ); 31 32 logic rsp_data_err; 33 if (EnableRspDataIntgCheck) begin : gen_rsp_data_intg_check 34 tlul_data_integ_dec u_tlul_data_integ_dec ( 35 .data_intg_i({tl_i.d_user.data_intg, DataMaxWidth'(tl_i.d_data)}), 36 .data_err_o(rsp_data_err) 37 ); 38 end else begin : gen_no_rsp_data_intg_check 39 assign rsp_data_err = 1'b0; 40 end 41 42 // error is not permanently latched as rsp_intg_chk is typically 43 // used near the host. 44 // if the error is permanent, it would imply the host could forever 45 // receive bus errors and lose all ability to debug. 46 // It should be up to the host to determine the permanence of this error. 47 1/1 assign err_o = tl_i.d_valid & (|rsp_err | rsp_data_err); Tests: T1 T2 T3  48 49 logic unused_tl; 50 1/1 assign unused_tl = |tl_i; Tests: T1 T2 T3 

Cond Coverage for Module : tlul_rsp_intg_chk
TotalCoveredPercent
Conditions5480.00
Logical5480.00
Non-Logical00
Event00

 LINE       47
 EXPRESSION (tl_i.d_valid & (((|rsp_err)) | rsp_data_err))
             ------1-----   --------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       47
 SUB-EXPRESSION (((|rsp_err)) | rsp_data_err)
                 ------1-----   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10CoveredT1,T2,T3

Assert Coverage for Module : tlul_rsp_intg_chk
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 1 1 100.00 1 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 1 1 100.00 1 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
PayLoadWidthCheck 2032 2032 0 0


PayLoadWidthCheck
NameAttemptsReal SuccessesFailuresIncomplete
Total 2032 2032 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T9 2 2 0 0
T25 2 2 0 0
T107 2 2 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_i_ibex.u_rsp_chk
Line No.TotalCoveredPercent
TOTAL33100.00
CONT_ASSIGN2311100.00
CONT_ASSIGN4711100.00
CONT_ASSIGN5011100.00

22 tl_d2h_rsp_intg_t rsp; 23 1/1 assign rsp = extract_d2h_rsp_intg(tl_i); Tests: T1 T2 T3  24 25 prim_secded_inv_64_57_dec u_chk ( 26 .data_i({tl_i.d_user.rsp_intg, D2HRspMaxWidth'(rsp)}), 27 .data_o(), 28 .syndrome_o(), 29 .err_o(rsp_err) 30 ); 31 32 logic rsp_data_err; 33 if (EnableRspDataIntgCheck) begin : gen_rsp_data_intg_check 34 tlul_data_integ_dec u_tlul_data_integ_dec ( 35 .data_intg_i({tl_i.d_user.data_intg, DataMaxWidth'(tl_i.d_data)}), 36 .data_err_o(rsp_data_err) 37 ); 38 end else begin : gen_no_rsp_data_intg_check 39 assign rsp_data_err = 1'b0; 40 end 41 42 // error is not permanently latched as rsp_intg_chk is typically 43 // used near the host. 44 // if the error is permanent, it would imply the host could forever 45 // receive bus errors and lose all ability to debug. 46 // It should be up to the host to determine the permanence of this error. 47 1/1 assign err_o = tl_i.d_valid & (|rsp_err | rsp_data_err); Tests: T1 T2 T3  48 49 logic unused_tl; 50 1/1 assign unused_tl = |tl_i; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_i_ibex.u_rsp_chk
TotalCoveredPercent
Conditions5480.00
Logical5480.00
Non-Logical00
Event00

 LINE       47
 EXPRESSION (tl_i.d_valid & (((|rsp_err)) | rsp_data_err))
             ------1-----   --------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       47
 SUB-EXPRESSION (((|rsp_err)) | rsp_data_err)
                 ------1-----   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10CoveredT1,T2,T3

Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_i_ibex.u_rsp_chk
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 1 1 100.00 1 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 1 1 100.00 1 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
PayLoadWidthCheck 1016 1016 0 0


PayLoadWidthCheck
NameAttemptsReal SuccessesFailuresIncomplete
Total 1016 1016 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T9 1 1 0 0
T25 1 1 0 0
T107 1 1 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_d_ibex.u_rsp_chk
Line No.TotalCoveredPercent
TOTAL33100.00
CONT_ASSIGN2311100.00
CONT_ASSIGN4711100.00
CONT_ASSIGN5011100.00

22 tl_d2h_rsp_intg_t rsp; 23 1/1 assign rsp = extract_d2h_rsp_intg(tl_i); Tests: T1 T2 T3  24 25 prim_secded_inv_64_57_dec u_chk ( 26 .data_i({tl_i.d_user.rsp_intg, D2HRspMaxWidth'(rsp)}), 27 .data_o(), 28 .syndrome_o(), 29 .err_o(rsp_err) 30 ); 31 32 logic rsp_data_err; 33 if (EnableRspDataIntgCheck) begin : gen_rsp_data_intg_check 34 tlul_data_integ_dec u_tlul_data_integ_dec ( 35 .data_intg_i({tl_i.d_user.data_intg, DataMaxWidth'(tl_i.d_data)}), 36 .data_err_o(rsp_data_err) 37 ); 38 end else begin : gen_no_rsp_data_intg_check 39 assign rsp_data_err = 1'b0; 40 end 41 42 // error is not permanently latched as rsp_intg_chk is typically 43 // used near the host. 44 // if the error is permanent, it would imply the host could forever 45 // receive bus errors and lose all ability to debug. 46 // It should be up to the host to determine the permanence of this error. 47 1/1 assign err_o = tl_i.d_valid & (|rsp_err | rsp_data_err); Tests: T1 T2 T3  48 49 logic unused_tl; 50 1/1 assign unused_tl = |tl_i; Tests: T1 T2 T3 

Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_d_ibex.u_rsp_chk
TotalCoveredPercent
Conditions5480.00
Logical5480.00
Non-Logical00
Event00

 LINE       47
 EXPRESSION (tl_i.d_valid & (((|rsp_err)) | rsp_data_err))
             ------1-----   --------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       47
 SUB-EXPRESSION (((|rsp_err)) | rsp_data_err)
                 ------1-----   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10CoveredT1,T2,T3

Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex.tl_adapter_host_d_ibex.u_rsp_chk
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 1 1 100.00 1 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 1 1 100.00 1 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
PayLoadWidthCheck 1016 1016 0 0


PayLoadWidthCheck
NameAttemptsReal SuccessesFailuresIncomplete
Total 1016 1016 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T9 1 1 0 0
T25 1 1 0 0
T107 1 1 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%