Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.61 100.00 97.60 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 96.76 100.00 90.48 100.00 100.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 96.85 100.00 90.48 100.00 100.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 96.85 100.00 90.48 100.00 100.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 97.61 97.83 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
96.85 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
97.61 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
96.85 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
96.76 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT22,T16,T17
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT22,T16,T17
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT22,T17,T3

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT22,T17,T3

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT22,T17,T3

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT22,T17,T3
10CoveredT5,T21,T22
11CoveredT22,T17,T3

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT22,T17,T3
01CoveredT17,T99,T100
10CoveredT49,T101

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT22,T17,T3
01CoveredT22,T17,T3
10CoveredT102,T49,T101

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT22,T17,T3
1-CoveredT22,T17,T3

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
96.85 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
97.61 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
96.85 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT5,T19,T2

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT5,T19,T2

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT5,T19,T2

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT5,T19,T2
10CoveredT4,T5,T21
11CoveredT5,T19,T2

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT19,T2,T8
01CoveredT5,T103,T104
10CoveredT49

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT19,T2,T8
01CoveredT19,T2,T8
10CoveredT49

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT19,T2,T8
1-CoveredT19,T2,T8

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT22,T16,T3
1CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT22,T16,T3

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT22,T16,T3

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT22,T16,T3

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT22,T16,T3
10CoveredT22,T3,T9
11CoveredT22,T16,T3

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT22,T16,T3
01CoveredT16,T62,T63
10CoveredT12,T63,T105

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT22,T3,T9
01CoveredT22,T3,T9
10CoveredT106,T107,T108

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT22,T3,T9
1-CoveredT22,T3,T9

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T21

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T10,T35

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T8,T10
10CoveredT4,T5,T21
11CoveredT1,T8,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT8,T10,T35
01CoveredT68,T109,T103
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT8,T10,T35
01Unreachable
10CoveredT8,T10,T35

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
96.76 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT2,T8,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT2,T8,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT2,T8,T10

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT2,T8,T10
10CoveredT4,T5,T6
11CoveredT2,T8,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T10,T11
01CoveredT8,T61,T110
10CoveredT49

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT2,T10,T11
01CoveredT10,T13,T32
10CoveredT49

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT2,T10,T11
1-CoveredT10,T13,T32

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T21

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T21
11CoveredT4,T5,T21

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T8,T10
10CoveredT4,T5,T21
11CoveredT1,T8,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T8,T52
01CoveredT10,T111,T112
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T8,T52
01Unreachable
10CoveredT1,T8,T52

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T21
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T21
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T8,T10

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T8,T10
10CoveredT4,T5,T21
11CoveredT1,T8,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T8,T10
01CoveredT113,T114,T115
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T8,T10
01Unreachable
10CoveredT1,T8,T10

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T5,T19,T2
DetectSt 168 Covered T5,T19,T2
IdleSt 163 Covered T4,T5,T6
StableSt 191 Covered T19,T2,T8


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T5,T19,T2
DebounceSt->IdleSt 163 Covered T19,T42,T45
DetectSt->IdleSt 186 Covered T5,T10,T61
DetectSt->StableSt 191 Covered T19,T2,T8
IdleSt->DebounceSt 148 Covered T5,T19,T2
StableSt->IdleSt 206 Covered T19,T2,T8



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
96.85 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
97.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
96.85 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
96.76 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T5,T19,T2
0 1 Covered T5,T19,T2
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T19,T2
0 Covered T4,T5,T6


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T5,T19,T2
IdleSt 0 - - - - - - Covered T4,T5,T6
DebounceSt - 1 - - - - - Covered T49,T101
DebounceSt - 0 1 1 - - - Covered T5,T19,T2
DebounceSt - 0 1 0 - - - Covered T19,T42,T61
DebounceSt - 0 0 - - - - Covered T5,T19,T2
DetectSt - - - - 1 - - Covered T5,T8,T10
DetectSt - - - - 0 1 - Covered T19,T2,T8
DetectSt - - - - 0 0 - Covered T22,T17,T3
StableSt - - - - - - 1 Covered T19,T2,T8
StableSt - - - - - - 0 Covered T19,T2,T8
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T22,T1,T16
0 1 Covered T22,T1,T16
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T22,T16,T3
0 Covered T4,T5,T6


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T22,T1,T16
IdleSt 0 - - - - - - Covered T4,T5,T21
DebounceSt - 1 - - - - - Covered T49,T101
DebounceSt - 0 1 1 - - - Covered T22,T16,T3
DebounceSt - 0 1 0 - - - Covered T1,T52,T66
DebounceSt - 0 0 - - - - Covered T22,T1,T16
DetectSt - - - - 1 - - Covered T16,T12,T62
DetectSt - - - - 0 1 - Covered T22,T3,T8
DetectSt - - - - 0 0 - Covered T22,T16,T3
StableSt - - - - - - 1 Covered T22,T3,T8
StableSt - - - - - - 0 Covered T22,T3,T8
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 174167188 17699 0 0
CntIncr_A 174167188 2053817 0 0
CntNoWrap_A 174167188 156762067 0 0
DetectStDropOut_A 174167188 1839 0 0
DetectedOut_A 174167188 1526794 0 0
DetectedPulseOut_A 174167188 5942 0 0
DisabledIdleSt_A 174167188 145931442 0 0
DisabledNoDetection_A 174167188 145985536 0 0
EnterDebounceSt_A 174167188 9131 0 0
EnterDetectSt_A 174167188 8584 0 0
EnterStableSt_A 174167188 5942 0 0
PulseIsPulse_A 174167188 5942 0 0
StayInStableSt 174167188 1520061 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 60288642 51398 0 0
gen_high_event_sva.HighLevelEvent_A 33493690 30161110 0 0
gen_high_level_sva.HighLevelEvent_A 113878546 102547774 0 0
gen_low_level_sva.LowLevelEvent_A 60288642 54289998 0 0
gen_not_sticky_sva.StableStDropOut_A 154070974 4947 0 0
gen_sticky_sva.StableStDropOut_A 20096214 1729966 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 17699 0 0
T1 9486 0 0 0
T2 46161 2 0 0
T3 320886 34 0 0
T5 3029 2 0 0
T6 405 0 0 0
T7 140236 8 0 0
T8 8572 0 0 0
T9 27936 70 0 0
T10 254367 0 0 0
T11 696 0 0 0
T12 0 52 0 0
T14 3018 0 0 0
T15 2496 0 0 0
T16 107496 24 0 0
T17 119394 14 0 0
T18 6480 0 0 0
T19 5904 5 0 0
T20 2108 0 0 0
T21 1360 0 0 0
T22 59472 50 0 0
T29 0 8 0 0
T30 0 8 0 0
T31 0 4 0 0
T35 0 4 0 0
T39 0 1 0 0
T42 0 3 0 0
T43 0 6 0 0
T44 0 4 0 0
T45 0 5 0 0
T46 0 2 0 0
T47 2044 0 0 0
T48 2088 0 0 0
T116 0 2 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 2053817 0 0
T1 9486 0 0 0
T2 46161 48 0 0
T3 320886 916 0 0
T5 3029 25 0 0
T6 405 0 0 0
T7 140236 504 0 0
T8 8572 0 0 0
T9 27936 2240 0 0
T10 254367 0 0 0
T11 696 0 0 0
T12 0 1570 0 0
T14 3018 0 0 0
T15 2496 0 0 0
T16 107496 3666 0 0
T17 119394 1197 0 0
T18 6480 0 0 0
T19 5904 228 0 0
T20 2108 0 0 0
T21 1360 0 0 0
T22 59472 2168 0 0
T29 0 684 0 0
T30 0 392 0 0
T31 0 340 0 0
T35 0 84 0 0
T39 0 20 0 0
T42 0 141 0 0
T43 0 182 0 0
T44 0 53 0 0
T45 0 101 0 0
T46 0 75 0 0
T47 2044 0 0 0
T48 2088 0 0 0
T116 0 16 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 156762067 0 0
T1 41106 30664 0 0
T4 13260 2834 0 0
T5 78754 16222 0 0
T6 10530 104 0 0
T14 13078 2652 0 0
T15 10816 390 0 0
T16 465816 455282 0 0
T17 344916 333819 0 0
T21 35360 4108 0 0
T22 257712 247160 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 1839 0 0
T1 1581 0 0 0
T5 3029 1 0 0
T6 405 0 0 0
T14 503 0 0 0
T15 416 0 0 0
T16 35832 12 0 0
T17 26532 0 0 0
T18 1440 0 0 0
T19 738 0 0 0
T21 1360 0 0 0
T22 9912 0 0 0
T62 0 13 0 0
T63 0 8 0 0
T108 0 7 0 0
T115 0 2 0 0
T117 0 18 0 0
T118 12313 1 0 0
T119 0 2 0 0
T120 0 14 0 0
T121 0 2 0 0
T122 0 16 0 0
T123 0 9 0 0
T124 0 7 0 0
T125 0 18 0 0
T126 0 13 0 0
T127 0 4 0 0
T128 0 4 0 0
T129 0 1 0 0
T130 0 3 0 0
T131 0 1 0 0
T132 564 0 0 0
T133 845 0 0 0
T134 6811 0 0 0
T135 2615 0 0 0
T136 426 0 0 0
T137 420 0 0 0
T138 531 0 0 0
T139 667 0 0 0
T140 427 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 1526794 0 0
T1 7905 0 0 0
T2 41032 6 0 0
T3 356540 1432 0 0
T7 175295 153 0 0
T8 10715 0 0 0
T9 111744 3652 0 0
T10 1017468 0 0 0
T11 2784 0 0 0
T12 0 2066 0 0
T14 2515 0 0 0
T15 2080 0 0 0
T16 89580 0 0 0
T17 79596 31 0 0
T18 4320 0 0 0
T19 5166 9 0 0
T20 2635 0 0 0
T22 49560 2155 0 0
T26 1521 0 0 0
T29 0 98 0 0
T30 0 111 0 0
T31 0 22 0 0
T35 0 12 0 0
T40 0 761 0 0
T42 0 12 0 0
T43 0 13 0 0
T44 0 7 0 0
T45 0 19 0 0
T46 0 12 0 0
T47 2555 0 0 0
T48 2610 0 0 0
T51 2114 0 0 0
T55 494 0 0 0
T86 0 2 0 0
T116 0 11 0 0
T141 0 292 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 5942 0 0
T1 7905 0 0 0
T2 41032 1 0 0
T3 356540 17 0 0
T7 175295 4 0 0
T8 10715 0 0 0
T9 111744 35 0 0
T10 1017468 0 0 0
T11 2784 0 0 0
T12 0 26 0 0
T14 2515 0 0 0
T15 2080 0 0 0
T16 89580 0 0 0
T17 79596 7 0 0
T18 4320 0 0 0
T19 5166 2 0 0
T20 2635 0 0 0
T22 49560 25 0 0
T26 1521 0 0 0
T29 0 4 0 0
T30 0 4 0 0
T31 0 2 0 0
T35 0 2 0 0
T40 0 12 0 0
T42 0 1 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 2555 0 0 0
T48 2610 0 0 0
T51 2114 0 0 0
T55 494 0 0 0
T86 0 1 0 0
T116 0 1 0 0
T141 0 12 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 145931442 0 0
T1 41106 27299 0 0
T4 13260 2834 0 0
T5 78754 16168 0 0
T6 10530 104 0 0
T14 13078 2652 0 0
T15 10816 390 0 0
T16 465816 393386 0 0
T17 344916 314730 0 0
T21 35360 4108 0 0
T22 257712 217324 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 145985536 0 0
T1 41106 27325 0 0
T4 13260 2860 0 0
T5 78754 16298 0 0
T6 10530 130 0 0
T14 13078 2678 0 0
T15 10816 416 0 0
T16 465816 393408 0 0
T17 344916 314818 0 0
T21 35360 4160 0 0
T22 257712 217346 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 9131 0 0
T1 9486 0 0 0
T2 46161 1 0 0
T3 320886 17 0 0
T5 3029 1 0 0
T6 405 0 0 0
T7 140236 4 0 0
T8 8572 0 0 0
T9 27936 35 0 0
T10 254367 0 0 0
T11 696 0 0 0
T12 0 26 0 0
T14 3018 0 0 0
T15 2496 0 0 0
T16 107496 12 0 0
T17 119394 7 0 0
T18 6480 0 0 0
T19 5904 3 0 0
T20 2108 0 0 0
T21 1360 0 0 0
T22 59472 25 0 0
T29 0 4 0 0
T30 0 4 0 0
T31 0 2 0 0
T35 0 2 0 0
T39 0 1 0 0
T42 0 2 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 3 0 0
T46 0 1 0 0
T47 2044 0 0 0
T48 2088 0 0 0
T116 0 1 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 8584 0 0
T1 9486 0 0 0
T2 46161 1 0 0
T3 320886 17 0 0
T5 3029 1 0 0
T6 405 0 0 0
T7 140236 4 0 0
T8 8572 0 0 0
T9 27936 35 0 0
T10 254367 0 0 0
T11 696 0 0 0
T12 0 26 0 0
T14 3018 0 0 0
T15 2496 0 0 0
T16 107496 12 0 0
T17 119394 7 0 0
T18 6480 0 0 0
T19 5904 2 0 0
T20 2108 0 0 0
T21 1360 0 0 0
T22 59472 25 0 0
T29 0 4 0 0
T30 0 4 0 0
T31 0 2 0 0
T35 0 2 0 0
T42 0 1 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 2044 0 0 0
T48 2088 0 0 0
T116 0 1 0 0
T141 0 12 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 5942 0 0
T1 7905 0 0 0
T2 41032 1 0 0
T3 356540 17 0 0
T7 175295 4 0 0
T8 10715 0 0 0
T9 111744 35 0 0
T10 1017468 0 0 0
T11 2784 0 0 0
T12 0 26 0 0
T14 2515 0 0 0
T15 2080 0 0 0
T16 89580 0 0 0
T17 79596 7 0 0
T18 4320 0 0 0
T19 5166 2 0 0
T20 2635 0 0 0
T22 49560 25 0 0
T26 1521 0 0 0
T29 0 4 0 0
T30 0 4 0 0
T31 0 2 0 0
T35 0 2 0 0
T40 0 12 0 0
T42 0 1 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 2555 0 0 0
T48 2610 0 0 0
T51 2114 0 0 0
T55 494 0 0 0
T86 0 1 0 0
T116 0 1 0 0
T141 0 12 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 5942 0 0
T1 7905 0 0 0
T2 41032 1 0 0
T3 356540 17 0 0
T7 175295 4 0 0
T8 10715 0 0 0
T9 111744 35 0 0
T10 1017468 0 0 0
T11 2784 0 0 0
T12 0 26 0 0
T14 2515 0 0 0
T15 2080 0 0 0
T16 89580 0 0 0
T17 79596 7 0 0
T18 4320 0 0 0
T19 5166 2 0 0
T20 2635 0 0 0
T22 49560 25 0 0
T26 1521 0 0 0
T29 0 4 0 0
T30 0 4 0 0
T31 0 2 0 0
T35 0 2 0 0
T40 0 12 0 0
T42 0 1 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 2555 0 0 0
T48 2610 0 0 0
T51 2114 0 0 0
T55 494 0 0 0
T86 0 1 0 0
T116 0 1 0 0
T141 0 12 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 174167188 1520061 0 0
T1 7905 0 0 0
T2 41032 5 0 0
T3 356540 1407 0 0
T7 175295 149 0 0
T8 10715 0 0 0
T9 111744 3603 0 0
T10 1017468 0 0 0
T11 2784 0 0 0
T12 0 2036 0 0
T14 2515 0 0 0
T15 2080 0 0 0
T16 89580 0 0 0
T17 79596 24 0 0
T18 4320 0 0 0
T19 5166 7 0 0
T20 2635 0 0 0
T22 49560 2130 0 0
T26 1521 0 0 0
T29 0 94 0 0
T30 0 107 0 0
T31 0 20 0 0
T35 0 10 0 0
T40 0 749 0 0
T42 0 11 0 0
T43 0 10 0 0
T44 0 5 0 0
T45 0 17 0 0
T46 0 11 0 0
T47 2555 0 0 0
T48 2610 0 0 0
T51 2114 0 0 0
T55 494 0 0 0
T86 0 1 0 0
T116 0 10 0 0
T141 0 280 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 60288642 51398 0 0
T1 14229 32 0 0
T2 0 66 0 0
T3 0 87 0 0
T4 4590 40 0 0
T5 27261 179 0 0
T6 3645 0 0 0
T8 0 15 0 0
T14 4527 44 0 0
T15 3744 19 0 0
T16 161244 180 0 0
T17 119394 82 0 0
T18 0 9 0 0
T20 0 9 0 0
T21 12240 28 0 0
T22 89208 189 0 0
T47 0 8 0 0
T48 0 12 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33493690 30161110 0 0
T1 7905 5905 0 0
T4 2550 550 0 0
T5 15145 3145 0 0
T6 2025 25 0 0
T14 2515 515 0 0
T15 2080 80 0 0
T16 89580 87580 0 0
T17 66330 64225 0 0
T21 6800 800 0 0
T22 49560 47560 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 113878546 102547774 0 0
T1 26877 20077 0 0
T4 8670 1870 0 0
T5 51493 10693 0 0
T6 6885 85 0 0
T14 8551 1751 0 0
T15 7072 272 0 0
T16 304572 297772 0 0
T17 225522 218365 0 0
T21 23120 2720 0 0
T22 168504 161704 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 60288642 54289998 0 0
T1 14229 10629 0 0
T4 4590 990 0 0
T5 27261 5661 0 0
T6 3645 45 0 0
T14 4527 927 0 0
T15 3744 144 0 0
T16 161244 157644 0 0
T17 119394 115605 0 0
T21 12240 1440 0 0
T22 89208 85608 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 154070974 4947 0 0
T1 7905 0 0 0
T2 35903 1 0 0
T3 320886 9 0 0
T7 140236 4 0 0
T8 10715 0 0 0
T9 111744 21 0 0
T10 1017468 0 0 0
T11 2088 0 0 0
T12 0 22 0 0
T14 2515 0 0 0
T15 2080 0 0 0
T16 89580 0 0 0
T17 79596 7 0 0
T18 4320 0 0 0
T19 5166 2 0 0
T20 2108 0 0 0
T22 49560 25 0 0
T26 1521 0 0 0
T29 0 4 0 0
T30 0 4 0 0
T31 0 2 0 0
T35 0 2 0 0
T42 0 1 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 2044 0 0 0
T48 2088 0 0 0
T51 2114 0 0 0
T55 494 0 0 0
T86 0 1 0 0
T99 0 9 0 0
T116 0 1 0 0
T141 0 12 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 20096214 1729966 0 0
T1 3162 1122 0 0
T2 10258 0 0 0
T3 71308 0 0 0
T8 2143 172 0 0
T9 27936 0 0 0
T10 254367 269 0 0
T11 696 0 0 0
T14 1006 0 0 0
T15 832 0 0 0
T16 35832 0 0 0
T17 26532 0 0 0
T18 1440 0 0 0
T19 1476 0 0 0
T20 1054 0 0 0
T26 507 0 0 0
T35 0 119 0 0
T39 2354 0 0 0
T51 2114 0 0 0
T52 0 154 0 0
T55 494 0 0 0
T65 0 570 0 0
T66 0 74671 0 0
T67 0 749 0 0
T68 0 128 0 0
T69 0 431 0 0
T87 402 0 0 0
T109 0 57 0 0
T111 0 281 0 0
T142 0 1039 0 0
T143 0 1061 0 0
T144 0 1101 0 0
T145 507 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%