Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
88.05 96.47 89.29 86.30 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 88.26 96.47 89.29 87.38 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
88.26 96.47 89.29 87.38 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
91.57 96.67 81.66 90.61 96.77 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
92.62 90.68 87.17 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 100.00 100.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 87.50 87.50
tl_adapter_host_d_ibex 92.37 97.67 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 96.15 96.15
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 97.29 100.00 96.30 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 93.37 96.72 80.12 96.64 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT115,T284,T151
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT114,T285,T286
10CoveredT136,T51,T52

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT136,T51,T52

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT287,T125,T134
10CoveredT4,T5,T6
11CoveredT125,T126,T9

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT125,T126,T279
10CoveredT4,T5,T6
11CoveredT287,T125,T134

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT287,T125,T134
10CoveredT4,T5,T6
11CoveredT125,T126,T9

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT287,T125,T134
10CoveredT4,T5,T6
11CoveredT125,T126,T9

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT136,T51,T52
010CoveredT115,T284,T151
100CoveredT288,T289,T290

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT5,T54,T19
11CoveredT4,T5,T6

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 123 91 73.98
Total Bits 1628 1405 86.30
Total Bits 0->1 814 703 86.36
Total Bits 1->0 814 702 86.24

Ports 123 91 73.98
Port Bits 1628 1405 86.30
Port Bits 0->1 814 703 86.36
Port Bits 1->0 814 702 86.24

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T19,T20,T41 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready No No No OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] No No No OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] No No No OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] No No No OUTPUT
corei_tl_h_o.a_mask[3:0] No No No OUTPUT
corei_tl_h_o.a_address[1:0] No No No OUTPUT
corei_tl_h_o.a_address[16:2] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_address[18:17] No No No OUTPUT
corei_tl_h_o.a_address[19] No No Yes T291,T292,T293 OUTPUT
corei_tl_h_o.a_address[27:20] No No No OUTPUT
corei_tl_h_o.a_address[29:28] Yes Yes *T115,*T294,*T295 Yes T115,T294,T295 OUTPUT
corei_tl_h_o.a_address[31:30] No No No OUTPUT
corei_tl_h_o.a_source[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[5:3] No No No OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] No No No OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] No No No OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T112,T113,T114 Yes T112,T113,T114 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[5:0] Yes Yes *T112,*T115,*T113 Yes T112,T115,T113 INPUT
corei_tl_h_i.d_user.rsp_intg[6] No No No INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink No No No INPUT
corei_tl_h_i.d_source[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[5:3] No No No INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[0] No No No INPUT
corei_tl_h_i.d_size[1] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T60,T9,T61 Yes T60,T9,T61 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T9,T12,T116 Yes T9,T12,T116 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T9,T12,T116 Yes T9,T12,T116 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T9,T12,T116 Yes T9,T12,T116 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_opcode[1] No No No OUTPUT
cored_tl_h_o.a_opcode[2] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T112,T117,T67 Yes T112,T117,T67 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6] No No No INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink No No No INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T38,T39,T40 Yes T38,T39,T40 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T276,T277,T278 Yes T276,T277,T278 INPUT
irq_timer_i Yes Yes T109,T296,T110 Yes T109,T296,T110 INPUT
irq_external_i Yes Yes T16,T17,T18 Yes T16,T17,T18 INPUT
esc_tx_i.esc_n Yes Yes T18,T112,T131 Yes T18,T112,T131 INPUT
esc_tx_i.esc_p Yes Yes T18,T112,T131 Yes T18,T112,T131 INPUT
esc_rx_o.resp_n Yes Yes T18,T112,T131 Yes T18,T112,T131 OUTPUT
esc_rx_o.resp_p Yes Yes T18,T112,T131 Yes T18,T112,T131 OUTPUT
nmi_wdog_i Yes Yes T243,T67,T297 Yes T243,T67,T297 INPUT
debug_req_i Yes Yes T118,T119,T120 Yes T118,T119,T120 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[1] No No No INPUT
cfg_tl_d_i.a_user.cmd_intg[6:2] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[2:1] No No No INPUT
cfg_tl_d_i.a_user.instr_type[3] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[1:0] No No No INPUT
cfg_tl_d_i.a_address[7:2] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[1:0] Yes Yes *T9,*T12,*T4 Yes T9,T12,T4 INPUT
cfg_tl_d_i.a_source[5:2] No No No INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[0] No No No INPUT
cfg_tl_d_i.a_size[1] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[1:0] No No No INPUT
cfg_tl_d_i.a_opcode[2] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T9,T12 Yes T9,T12 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T5,T54,T16 Yes T5,T54,T16 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[2:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[3] No No No OUTPUT
cfg_tl_d_o.d_user.rsp_intg[5:4] Yes Yes T19,T20,T41 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6] No No No OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T5,T54,T16 Yes T5,T54,T16 OUTPUT
cfg_tl_d_o.d_sink No No No OUTPUT
cfg_tl_d_o.d_source[1:0] Yes Yes *T9,*T12,*T4 Yes T9,T12,T4 OUTPUT
cfg_tl_d_o.d_source[5:2] No No No OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[0] No No No OUTPUT
cfg_tl_d_o.d_size[1] Yes Yes T19,T20,T41 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T5,T54,T19 Yes T5,T54,T42 INPUT
edn_i.edn_fips Yes Yes T84,T298,T80 Yes T84,T298,T80 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T213,T214,T156 Yes T213,T214,T156 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T5,T54,T42 Yes T4,T5,T6 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T5,T6 Yes T5,T6,T54 INPUT
icache_otp_key_i.ack Yes Yes T213,T214,T215 Yes T213,T214,T215 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T104,T68,T125 Yes T104,T68,T125 INPUT
alert_rx_i[0].ping_n Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[0].ping_p Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T104,T68,T287 Yes T104,T68,T287 INPUT
alert_rx_i[1].ping_n Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[1].ping_p Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T104,T68,T115 Yes T104,T68,T115 INPUT
alert_rx_i[2].ping_n Yes Yes T104,T68,T165 Yes T68,T165,T299 INPUT
alert_rx_i[2].ping_p Yes Yes T68,T165,T299 Yes T104,T68,T165 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T104,T68,T125 Yes T104,T68,T125 INPUT
alert_rx_i[3].ping_n Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[3].ping_p Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T104,T68,T125 Yes T104,T68,T125 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T104,T68,T287 Yes T104,T68,T287 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T104,T68,T115 Yes T104,T68,T115 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T104,T68,T125 Yes T104,T68,T125 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T136,T51,T52
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T114,T285,T286
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T5,T54,T16
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 495165569 6 0 0
FpvSecCmIbexFetchEnable1_A 495165569 24304852 0 100
FpvSecCmIbexFetchEnable2_A 495165569 64856579 0 88
FpvSecCmIbexFetchEnable3Rev_A 495165569 425834159 0 1988
FpvSecCmIbexFetchEnable3_A 495165569 425835994 0 1875
FpvSecCmIbexInstrIntgErrCheck_A 495165569 76 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 495165569 595 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 495165569 0 0 0
FpvSecCmIbexPcMismatchCheck_A 495165569 0 0 0
FpvSecCmIbexRfEccErrCheck_A 495165569 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 495165569 0 0 0
FpvSecCmRegWeOnehotCheck_A 495165569 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 495165569 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 495165569 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 495165569 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1002 1002 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1002 1002 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1002 1002 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1002 1002 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1002 1002 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 495165569 168 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 495165569 191 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 6 0 0
T82 249705 0 0 0
T114 220463 1 0 0
T143 104108 0 0 0
T153 160296 0 0 0
T285 0 1 0 0
T286 0 1 0 0
T300 0 1 0 0
T301 0 1 0 0
T302 0 1 0 0
T303 423708 0 0 0
T304 446158 0 0 0
T305 192805 0 0 0
T306 195881 0 0 0
T307 220086 0 0 0
T308 585632 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 24304852 0 100
T4 91079 9931 0 0
T5 124600 9931 0 0
T6 97881 9931 0 0
T16 131328 9927 0 0
T19 260880 19854 0 0
T20 546848 30957 0 0
T41 945757 19842 0 0
T42 72475 9923 0 0
T51 0 0 0 2
T52 0 0 0 2
T54 125836 9919 0 0
T60 0 0 0 2
T85 162295 19842 0 0
T174 0 0 0 2
T195 0 0 0 2
T200 0 0 0 2
T209 0 0 0 2
T309 0 0 0 2
T310 0 0 0 2
T311 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 64856579 0 88
T4 91079 34775 0 0
T5 124600 34775 0 0
T6 97881 34775 0 0
T16 131328 34775 0 0
T19 260880 202916 0 2
T20 546848 104325 0 0
T41 945757 69554 0 0
T42 72475 34775 0 0
T51 0 0 0 2
T52 0 0 0 2
T54 125836 34771 0 0
T60 0 0 0 2
T85 162295 69554 0 0
T129 0 0 0 2
T205 0 0 0 2
T209 0 0 0 2
T310 0 0 0 2
T312 0 0 0 2
T313 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 425834159 0 1988
T4 91079 56243 0 2
T5 124600 121116 0 2
T6 97881 63041 0 2
T16 131328 96488 0 2
T19 260880 57839 0 2
T20 546848 536279 0 2
T41 945757 876095 0 2
T42 72475 37642 0 2
T54 125836 122353 0 2
T85 162295 92629 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 425835994 0 1875
T4 91079 56244 0 2
T5 124600 121116 0 2
T6 97881 63042 0 2
T16 131328 96489 0 2
T19 260880 57840 0 0
T20 546848 536280 0 2
T41 945757 876097 0 2
T42 72475 37643 0 2
T54 125836 122353 0 2
T83 0 0 0 2
T85 162295 92631 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 76 0 0
T274 97242 0 0 0
T314 300589 76 0 0
T315 200713 0 0 0
T316 618164 0 0 0
T317 144368 0 0 0
T318 227016 0 0 0
T319 144500 0 0 0
T320 261230 0 0 0
T321 118283 0 0 0
T322 206058 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 595 0 0
T63 98853 0 0 0
T73 0 32 0 0
T74 0 32 0 0
T115 314736 1 0 0
T140 104969 0 0 0
T151 0 32 0 0
T153 0 32 0 0
T204 198213 0 0 0
T265 146443 0 0 0
T283 126757 0 0 0
T284 0 100 0 0
T294 0 1 0 0
T295 0 1 0 0
T323 0 4 0 0
T324 0 32 0 0
T325 247315 0 0 0
T326 292034 0 0 0
T327 144048 0 0 0
T328 81776 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 3 0 0
T253 87634 0 0 0
T288 261595 1 0 0
T289 0 1 0 0
T290 0 1 0 0
T293 205796 0 0 0
T329 339228 0 0 0
T330 441362 0 0 0
T331 160788 0 0 0
T332 110877 0 0 0
T333 274785 0 0 0
T334 154984 0 0 0
T335 123822 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 168 0 0
T31 169694 0 0 0
T124 821243 0 0 0
T148 225982 0 0 0
T213 59916 8 0 0
T214 0 41 0 0
T215 0 21 0 0
T269 614820 0 0 0
T270 217016 0 0 0
T287 199883 0 0 0
T332 0 33 0 0
T336 0 32 0 0
T337 0 33 0 0
T338 93142 0 0 0
T339 376977 0 0 0
T340 146990 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 191 0 0
T31 169694 0 0 0
T124 821243 0 0 0
T148 225982 0 0 0
T156 0 16 0 0
T157 0 16 0 0
T158 0 16 0 0
T213 59916 2 0 0
T214 0 10 0 0
T215 0 5 0 0
T269 614820 0 0 0
T270 217016 0 0 0
T287 199883 0 0 0
T332 0 42 0 0
T336 0 42 0 0
T337 0 42 0 0
T338 93142 0 0 0
T339 376977 0 0 0
T340 146990 0 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858296.47
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN75211100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN76011100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 1 1
753 1 1
754 1 1
757 1 1
760 1 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT115,T284,T151
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT114,T285,T286
10CoveredT136,T51,T52

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT136,T51,T52

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT287,T125,T134
10CoveredT4,T5,T6
11CoveredT125,T126,T9

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT125,T126,T279
10CoveredT4,T5,T6
11CoveredT287,T125,T134

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT287,T125,T134
10CoveredT4,T5,T6
11CoveredT125,T126,T9

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT287,T125,T134
10CoveredT4,T5,T6
11CoveredT125,T126,T9

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT136,T51,T52
010CoveredT115,T284,T151
100CoveredT288,T289,T290

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT5,T54,T19
11CoveredT4,T5,T6

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 119 91 76.47
Total Bits 1608 1405 87.38
Total Bits 0->1 804 703 87.44
Total Bits 1->0 804 702 87.31

Ports 119 91 76.47
Port Bits 1608 1405 87.38
Port Bits 0->1 804 703 87.44
Port Bits 1->0 804 702 87.31

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T19,T20,T41 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready No No No OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] No No No OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] No No No OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] No No No OUTPUT
corei_tl_h_o.a_mask[3:0] No No No OUTPUT
corei_tl_h_o.a_address[1:0] No No No OUTPUT
corei_tl_h_o.a_address[16:2] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_address[18:17] No No No OUTPUT
corei_tl_h_o.a_address[19] No No Yes T291,T292,T293 OUTPUT
corei_tl_h_o.a_address[27:20] No No No OUTPUT
corei_tl_h_o.a_address[29:28] Yes Yes *T115,*T294,*T295 Yes T115,T294,T295 OUTPUT
corei_tl_h_o.a_address[31:30] No No No OUTPUT
corei_tl_h_o.a_source[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[5:3] No No No OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] No No No OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] No No No OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T112,T113,T114 Yes T112,T113,T114 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[5:0] Yes Yes *T112,*T115,*T113 Yes T112,T115,T113 INPUT
corei_tl_h_i.d_user.rsp_intg[6] No No No INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink No No No INPUT
corei_tl_h_i.d_source[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[5:3] No No No INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[0] No No No INPUT
corei_tl_h_i.d_size[1] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T60,T9,T61 Yes T60,T9,T61 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T9,T12,T116 Yes T9,T12,T116 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T9,T12,T116 Yes T9,T12,T116 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T9,T12,T116 Yes T9,T12,T116 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_opcode[1] No No No OUTPUT
cored_tl_h_o.a_opcode[2] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T112,T117,T67 Yes T112,T117,T67 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6] No No No INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink No No No INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T38,T39,T40 Yes T38,T39,T40 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T276,T277,T278 Yes T276,T277,T278 INPUT
irq_timer_i Yes Yes T109,T296,T110 Yes T109,T296,T110 INPUT
irq_external_i Yes Yes T16,T17,T18 Yes T16,T17,T18 INPUT
esc_tx_i.esc_n Yes Yes T18,T112,T131 Yes T18,T112,T131 INPUT
esc_tx_i.esc_p Yes Yes T18,T112,T131 Yes T18,T112,T131 INPUT
esc_rx_o.resp_n Yes Yes T18,T112,T131 Yes T18,T112,T131 OUTPUT
esc_rx_o.resp_p Yes Yes T18,T112,T131 Yes T18,T112,T131 OUTPUT
nmi_wdog_i Yes Yes T243,T67,T297 Yes T243,T67,T297 INPUT
debug_req_i Yes Yes T118,T119,T120 Yes T118,T119,T120 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[1] No No No INPUT
cfg_tl_d_i.a_user.cmd_intg[6:2] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[2:1] No No No INPUT
cfg_tl_d_i.a_user.instr_type[3] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[1:0] No No No INPUT
cfg_tl_d_i.a_address[7:2] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[1:0] Yes Yes *T9,*T12,*T4 Yes T9,T12,T4 INPUT
cfg_tl_d_i.a_source[5:2] No No No INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[0] No No No INPUT
cfg_tl_d_i.a_size[1] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[1:0] No No No INPUT
cfg_tl_d_i.a_opcode[2] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T9,T12 Yes T9,T12 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T5,T54,T16 Yes T5,T54,T16 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[2:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[3] No No No OUTPUT
cfg_tl_d_o.d_user.rsp_intg[5:4] Yes Yes T19,T20,T41 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6] No No No OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T5,T54,T16 Yes T5,T54,T16 OUTPUT
cfg_tl_d_o.d_sink No No No OUTPUT
cfg_tl_d_o.d_source[1:0] Yes Yes *T9,*T12,*T4 Yes T9,T12,T4 OUTPUT
cfg_tl_d_o.d_source[5:2] No No No OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[0] No No No OUTPUT
cfg_tl_d_o.d_size[1] Yes Yes T19,T20,T41 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T5,T54,T19 Yes T5,T54,T42 INPUT
edn_i.edn_fips Yes Yes T84,T298,T80 Yes T84,T298,T80 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T213,T214,T156 Yes T213,T214,T156 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T19,T20,T41 Yes T4,T5,T6 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T5,T54,T42 Yes T4,T5,T6 INPUT
icache_otp_key_i.key[127:0] Yes Yes T4,T5,T6 Yes T5,T6,T54 INPUT
icache_otp_key_i.ack Yes Yes T213,T214,T215 Yes T213,T214,T215 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T104,T68,T125 Yes T104,T68,T125 INPUT
alert_rx_i[0].ping_n Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[0].ping_p Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T104,T68,T287 Yes T104,T68,T287 INPUT
alert_rx_i[1].ping_n Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[1].ping_p Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T104,T68,T115 Yes T104,T68,T115 INPUT
alert_rx_i[2].ping_n Yes Yes T104,T68,T165 Yes T68,T165,T299 INPUT
alert_rx_i[2].ping_p Yes Yes T68,T165,T299 Yes T104,T68,T165 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T104,T68,T125 Yes T104,T68,T125 INPUT
alert_rx_i[3].ping_n Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_rx_i[3].ping_p Yes Yes T104,T68,T165 Yes T104,T68,T165 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T104,T68,T125 Yes T104,T68,T125 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T104,T68,T287 Yes T104,T68,T287 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T104,T68,T115 Yes T104,T68,T115 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T104,T68,T125 Yes T104,T68,T125 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T136,T51,T52
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T114,T285,T286
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T5,T54,T16
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 495165569 6 0 0
FpvSecCmIbexFetchEnable1_A 495165569 24304852 0 100
FpvSecCmIbexFetchEnable2_A 495165569 64856579 0 88
FpvSecCmIbexFetchEnable3Rev_A 495165569 425834159 0 1988
FpvSecCmIbexFetchEnable3_A 495165569 425835994 0 1875
FpvSecCmIbexInstrIntgErrCheck_A 495165569 76 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 495165569 595 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 495165569 0 0 0
FpvSecCmIbexPcMismatchCheck_A 495165569 0 0 0
FpvSecCmIbexRfEccErrCheck_A 495165569 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 495165569 0 0 0
FpvSecCmRegWeOnehotCheck_A 495165569 3 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 495165569 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 495165569 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 495165569 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1002 1002 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1002 1002 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1002 1002 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1002 1002 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1002 1002 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 495165569 168 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 495165569 191 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 6 0 0
T82 249705 0 0 0
T114 220463 1 0 0
T143 104108 0 0 0
T153 160296 0 0 0
T285 0 1 0 0
T286 0 1 0 0
T300 0 1 0 0
T301 0 1 0 0
T302 0 1 0 0
T303 423708 0 0 0
T304 446158 0 0 0
T305 192805 0 0 0
T306 195881 0 0 0
T307 220086 0 0 0
T308 585632 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 24304852 0 100
T4 91079 9931 0 0
T5 124600 9931 0 0
T6 97881 9931 0 0
T16 131328 9927 0 0
T19 260880 19854 0 0
T20 546848 30957 0 0
T41 945757 19842 0 0
T42 72475 9923 0 0
T51 0 0 0 2
T52 0 0 0 2
T54 125836 9919 0 0
T60 0 0 0 2
T85 162295 19842 0 0
T174 0 0 0 2
T195 0 0 0 2
T200 0 0 0 2
T209 0 0 0 2
T309 0 0 0 2
T310 0 0 0 2
T311 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 64856579 0 88
T4 91079 34775 0 0
T5 124600 34775 0 0
T6 97881 34775 0 0
T16 131328 34775 0 0
T19 260880 202916 0 2
T20 546848 104325 0 0
T41 945757 69554 0 0
T42 72475 34775 0 0
T51 0 0 0 2
T52 0 0 0 2
T54 125836 34771 0 0
T60 0 0 0 2
T85 162295 69554 0 0
T129 0 0 0 2
T205 0 0 0 2
T209 0 0 0 2
T310 0 0 0 2
T312 0 0 0 2
T313 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 425834159 0 1988
T4 91079 56243 0 2
T5 124600 121116 0 2
T6 97881 63041 0 2
T16 131328 96488 0 2
T19 260880 57839 0 2
T20 546848 536279 0 2
T41 945757 876095 0 2
T42 72475 37642 0 2
T54 125836 122353 0 2
T85 162295 92629 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 425835994 0 1875
T4 91079 56244 0 2
T5 124600 121116 0 2
T6 97881 63042 0 2
T16 131328 96489 0 2
T19 260880 57840 0 0
T20 546848 536280 0 2
T41 945757 876097 0 2
T42 72475 37643 0 2
T54 125836 122353 0 2
T83 0 0 0 2
T85 162295 92631 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 76 0 0
T274 97242 0 0 0
T314 300589 76 0 0
T315 200713 0 0 0
T316 618164 0 0 0
T317 144368 0 0 0
T318 227016 0 0 0
T319 144500 0 0 0
T320 261230 0 0 0
T321 118283 0 0 0
T322 206058 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 595 0 0
T63 98853 0 0 0
T73 0 32 0 0
T74 0 32 0 0
T115 314736 1 0 0
T140 104969 0 0 0
T151 0 32 0 0
T153 0 32 0 0
T204 198213 0 0 0
T265 146443 0 0 0
T283 126757 0 0 0
T284 0 100 0 0
T294 0 1 0 0
T295 0 1 0 0
T323 0 4 0 0
T324 0 32 0 0
T325 247315 0 0 0
T326 292034 0 0 0
T327 144048 0 0 0
T328 81776 0 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 3 0 0
T253 87634 0 0 0
T288 261595 1 0 0
T289 0 1 0 0
T290 0 1 0 0
T293 205796 0 0 0
T329 339228 0 0 0
T330 441362 0 0 0
T331 160788 0 0 0
T332 110877 0 0 0
T333 274785 0 0 0
T334 154984 0 0 0
T335 123822 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1002 1002 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T16 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T41 1 1 0 0
T42 1 1 0 0
T54 1 1 0 0
T85 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 168 0 0
T31 169694 0 0 0
T124 821243 0 0 0
T148 225982 0 0 0
T213 59916 8 0 0
T214 0 41 0 0
T215 0 21 0 0
T269 614820 0 0 0
T270 217016 0 0 0
T287 199883 0 0 0
T332 0 33 0 0
T336 0 32 0 0
T337 0 33 0 0
T338 93142 0 0 0
T339 376977 0 0 0
T340 146990 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 495165569 191 0 0
T31 169694 0 0 0
T124 821243 0 0 0
T148 225982 0 0 0
T156 0 16 0 0
T157 0 16 0 0
T158 0 16 0 0
T213 59916 2 0 0
T214 0 10 0 0
T215 0 5 0 0
T269 614820 0 0 0
T270 217016 0 0 0
T287 199883 0 0 0
T332 0 42 0 0
T336 0 42 0 0
T337 0 42 0 0
T338 93142 0 0 0
T339 376977 0 0 0
T340 146990 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%