SPI_DEVICE Simulation Results

Saturday May 20 2023 07:05:26 UTC

GitHub Revision: e3fb01b5e

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 2781625531

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_smoke 1.340s 51.944us 50 50 100.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.440s 41.202us 5 5 100.00
V1 csr_rw spi_device_csr_rw 3.000s 251.464us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 41.060s 3.019ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 28.340s 1.504ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 3.480s 80.243us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 3.000s 251.464us 20 20 100.00
spi_device_csr_aliasing 28.340s 1.504ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 15.830s 988.793us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 5.660s 643.576us 5 5 100.00
V1 TOTAL 115 115 100.00
V2 base_random_seq spi_device_txrx 25.980m 62.655ms 50 50 100.00
V2 fifo_full spi_device_fifo_full 52.798m 123.690ms 50 50 100.00
V2 fifo_underflow_overflow spi_device_fifo_underflow_overflow 41.081m 120.232ms 48 50 96.00
V2 dummy_sck_and_dummy_csb spi_device_dummy_item_extra_dly 43.038m 213.874ms 50 50 100.00
V2 extra_delay_on_spi spi_device_dummy_item_extra_dly 43.038m 213.874ms 50 50 100.00
V2 tx_async_fifo_reset spi_device_tx_async_fifo_reset 0.830s 53.654us 50 50 100.00
V2 rx_async_fifo_reset spi_device_rx_async_fifo_reset 0.930s 414.758us 50 50 100.00
V2 interrupts spi_device_intr 2.218m 73.602ms 50 50 100.00
V2 abort spi_device_abort 0.800s 38.466us 50 50 100.00
V2 byte_transfer_on_spi spi_device_byte_transfer 3.770s 337.775us 50 50 100.00
V2 rx_timeout spi_device_rx_timeout 7.430s 991.352us 50 50 100.00
V2 bit_transfer_on_spi spi_device_bit_transfer 3.360s 1.457ms 50 50 100.00
V2 extreme_fifo_setting spi_device_extreme_fifo_size 1.137h 84.754ms 50 50 100.00
V2 perf spi_device_perf 48.083m 171.627ms 50 50 100.00
V2 csb_read spi_device_csb_read 0.860s 36.865us 50 50 100.00
V2 mem_parity spi_device_mem_parity 1.080s 113.050us 19 20 95.00
V2 mem_cfg spi_device_ram_cfg 0.800s 18.387us 20 20 100.00
V2 tpm_read spi_device_tpm_rw 5.800s 497.335us 50 50 100.00
V2 tpm_write spi_device_tpm_rw 5.800s 497.335us 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 36.360s 39.720ms 50 50 100.00
spi_device_tpm_sts_read 1.160s 189.387us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 3.519m 38.645ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 39.630s 65.488ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 57.610s 91.208ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 57.610s 91.208ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 cmd_info_slots spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 cmd_read_status spi_device_intercept 16.530s 5.478ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 cmd_read_jedec spi_device_intercept 16.530s 5.478ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 cmd_read_sfdp spi_device_intercept 16.530s 5.478ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 cmd_fast_read spi_device_intercept 16.530s 5.478ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 flash_cmd_upload spi_device_upload 1.002m 21.721ms 50 50 100.00
V2 mailbox_command spi_device_mailbox 48.890s 81.109ms 50 50 100.00
V2 mailbox_cross_outside_command spi_device_mailbox 48.890s 81.109ms 50 50 100.00
V2 mailbox_cross_inside_command spi_device_mailbox 48.890s 81.109ms 50 50 100.00
V2 cmd_read_buffer spi_device_flash_mode 1.265m 16.845ms 49 50 98.00
spi_device_read_buffer_direct 8.650s 2.303ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 48.890s 81.109ms 50 50 100.00
spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 quad_spi spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 dual_spi spi_device_flash_all 9.371m 477.687ms 50 50 100.00
V2 4b_3b_feature spi_device_cfg_cmd 14.550s 8.007ms 50 50 100.00
V2 write_enable_disable spi_device_cfg_cmd 14.550s 8.007ms 50 50 100.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 9.818m 269.128ms 44 50 88.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 10.637m 107.128ms 48 50 96.00
V2 stress_all spi_device_stress_all 1.579h 488.810ms 15 50 30.00
V2 alert_test spi_device_alert_test 0.780s 15.081us 50 50 100.00
V2 intr_test spi_device_intr_test 0.830s 11.143us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 6.300s 1.071ms 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 6.300s 1.071ms 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.440s 41.202us 5 5 100.00
spi_device_csr_rw 3.000s 251.464us 20 20 100.00
spi_device_csr_aliasing 28.340s 1.504ms 5 5 100.00
spi_device_same_csr_outstanding 4.680s 2.827ms 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.440s 41.202us 5 5 100.00
spi_device_csr_rw 3.000s 251.464us 20 20 100.00
spi_device_csr_aliasing 28.340s 1.504ms 5 5 100.00
spi_device_same_csr_outstanding 4.680s 2.827ms 20 20 100.00
V2 TOTAL 1633 1680 97.20
V2S tl_intg_err spi_device_sec_cm 1.380s 385.978us 5 5 100.00
spi_device_tl_intg_err 22.970s 16.359ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 22.970s 16.359ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 1773 1820 97.42

Testplan Progress

Items Total Written Passing Progress
V1 8 8 8 100.00
V2 36 36 30 83.33
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
96.91 99.00 96.26 98.63 92.06 97.93 96.16 98.30

Failure Buckets

Past Results