Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.35 100.00 96.30 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 90.21 93.48 90.48 83.33 90.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
90.21 93.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT12,T13,T14
1CoveredT12,T13,T26

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT12,T13,T14
10CoveredT12,T13,T26
11CoveredT12,T13,T26

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T14

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T14

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T14

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT12,T13,T14
10CoveredT12,T13,T14
11CoveredT12,T13,T14

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT12,T13,T14
01CoveredT12,T19,T38
10CoveredT75,T76

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT12,T13,T14
01CoveredT12,T13,T14
10CoveredT75,T76

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT12,T13,T14
1-CoveredT12,T13,T14

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
90.21 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T26

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT12,T13,T26
10CoveredT12,T13,T26
11CoveredT12,T13,T26

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T15,T42

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T15,T42

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T15,T42

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT12,T15,T42
10CoveredT12,T13,T26
11CoveredT12,T15,T42

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT12,T15,T42
01CoveredT42,T77,T78
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT12,T15,T42
01CoveredT12,T15,T42
10CoveredT76

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT12,T15,T42
1-CoveredT12,T15,T42

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT14,T48,T49
1CoveredT12,T13,T26

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT14,T48,T49

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT14,T48,T49

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT14,T48,T49

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT14,T48,T49
10CoveredT14,T48,T53
11CoveredT14,T48,T49

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT14,T48,T49
01CoveredT48,T49,T53
10CoveredT48,T53,T50

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT14,T48,T53
01CoveredT14,T48,T53
10CoveredT75

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT14,T48,T53
1-CoveredT14,T48,T53

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T26

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT16,T38,T39
10CoveredT12,T13,T26
11CoveredT16,T38,T39

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT16,T38,T39
01CoveredT38,T61,T63
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT16,T38,T39
01Unreachable
10CoveredT16,T38,T39

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T26

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT12,T13,T26
10CoveredT12,T13,T26
11CoveredT12,T13,T26

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT15,T20,T42

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT15,T20,T42

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT15,T20,T42

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT15,T20,T42
10CoveredT12,T13,T26
11CoveredT15,T20,T42

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT15,T20,T42
01CoveredT62,T64,T79
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT15,T20,T42
01CoveredT20,T42,T43
10CoveredT76

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT15,T20,T42
1-CoveredT20,T42,T43

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T26,T28

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT12,T13,T26
10CoveredT12,T26,T15
11CoveredT12,T26,T28

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT39,T62,T63

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT16,T38,T39
10CoveredT12,T26,T28
11CoveredT16,T38,T39

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT39,T62,T63
01CoveredT65,T80,T81
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT39,T62,T63
01Unreachable
10CoveredT39,T62,T63

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT12,T13,T26

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT12,T13,T26
10CoveredT12,T13,T26
11CoveredT12,T13,T26

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T39

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT12,T13,T26
1CoveredT16,T38,T60

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT16,T38,T39
10CoveredT12,T13,T26
11CoveredT16,T38,T39

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT16,T38,T60
01CoveredT82,T83,T84
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT16,T38,T60
01Unreachable
10CoveredT16,T38,T60

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T1
DetectSt 168 Covered T1
IdleSt 163 Covered T1
StableSt 191 Covered T1


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T1
DebounceSt->IdleSt 163 Covered T1
DetectSt->IdleSt 186 Covered T1
DetectSt->StableSt 191 Covered T1
IdleSt->DebounceSt 148 Covered T1
StableSt->IdleSt 206 Covered T1



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.21 90.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T12,T15,T42
0 1 Covered T12,T15,T42
0 0 Covered T12,T13,T26


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T12,T15,T42
0 Covered T12,T13,T26


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T12,T13,T26
0 Covered T12,T13,T26


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T12,T15,T42
IdleSt 0 - - - - - - Covered T12,T13,T26
DebounceSt - 1 - - - - - Covered T75,T76
DebounceSt - 0 1 1 - - - Covered T12,T15,T42
DebounceSt - 0 1 0 - - - Covered T42,T85,T45
DebounceSt - 0 0 - - - - Covered T12,T15,T42
DetectSt - - - - 1 - - Covered T42,T65,T82
DetectSt - - - - 0 1 - Covered T12,T15,T42
DetectSt - - - - 0 0 - Covered T12,T13,T14
StableSt - - - - - - 1 Covered T12,T15,T42
StableSt - - - - - - 0 Covered T12,T15,T42
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T12,T13,T26
0 Covered T12,T13,T26


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T12,T13,T26
0 Covered T12,T13,T26


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T14,T16,T48
0 1 Covered T14,T16,T48
0 0 Covered T12,T13,T26


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T14,T16,T48
0 Covered T12,T13,T26


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T12,T13,T26
0 Covered T12,T13,T26


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T14,T16,T48
IdleSt 0 - - - - - - Covered T12,T13,T26
DebounceSt - 1 - - - - - Covered T75,T76
DebounceSt - 0 1 1 - - - Covered T14,T16,T48
DebounceSt - 0 1 0 - - - Covered T60,T61,T63
DebounceSt - 0 0 - - - - Covered T14,T16,T48
DetectSt - - - - 1 - - Covered T48,T49,T38
DetectSt - - - - 0 1 - Covered T14,T16,T48
DetectSt - - - - 0 0 - Covered T14,T48,T49
StableSt - - - - - - 1 Covered T14,T16,T48
StableSt - - - - - - 0 Covered T14,T16,T48
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T12,T13,T26
0 Covered T12,T13,T26


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 220280814 17369 0 0
CntIncr_A 220280814 1467899 0 0
CntNoWrap_A 220280814 202764691 0 0
DetectStDropOut_A 220280814 1854 0 0
DetectedOut_A 220280814 1402201 0 0
DetectedPulseOut_A 220280814 5517 0 0
DisabledIdleSt_A 220280814 193925691 0 0
DisabledNoDetection_A 220280814 193984839 0 0
EnterDebounceSt_A 220280814 9062 0 0
EnterDetectSt_A 220280814 8326 0 0
EnterStableSt_A 220280814 5517 0 0
PulseIsPulse_A 220280814 5517 0 0
StayInStableSt 220280814 1395904 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 76251051 51474 0 0
gen_high_event_sva.HighLevelEvent_A 42361695 39008790 0 0
gen_high_level_sva.HighLevelEvent_A 144029763 132629886 0 0
gen_low_level_sva.LowLevelEvent_A 76251051 70215822 0 0
gen_not_sticky_sva.StableStDropOut_A 194863797 4553 0 0
gen_sticky_sva.StableStDropOut_A 25417017 1567651 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 17369 0 0
T12 133950 18 0 0
T13 221125 30 0 0
T14 202788 4 0 0
T15 9740 0 0 0
T16 9150 0 0 0
T17 164805 23 0 0
T18 24617 20 0 0
T19 23445 23 0 0
T20 730 0 0 0
T21 0 3 0 0
T26 2035 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 20 0 0
T44 0 1 0 0
T45 16091 6 0 0
T48 0 8 0 0
T49 0 30 0 0
T50 0 14 0 0
T53 0 58 0 0
T56 2450 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 3 0 0
T85 0 2 0 0
T86 0 4 0 0
T87 0 4 0 0
T88 0 2 0 0
T89 0 5 0 0
T90 0 4 0 0
T91 422 0 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 1467899 0 0
T12 133950 875 0 0
T13 221125 2580 0 0
T14 202788 129 0 0
T15 9740 0 0 0
T16 9150 0 0 0
T17 164805 1613 0 0
T18 24617 888 0 0
T19 23445 1878 0 0
T20 730 0 0 0
T21 0 45 0 0
T26 2035 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 1062 0 0
T44 0 2854 0 0
T45 16091 177 0 0
T48 0 174 0 0
T49 0 678 0 0
T50 0 399 0 0
T53 0 1520 0 0
T56 2450 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 89 0 0
T85 0 136 0 0
T86 0 92 0 0
T87 0 82 0 0
T88 0 21 0 0
T89 0 164 0 0
T90 0 118 0 0
T91 422 0 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 202764691 0 0
T12 696540 642682 0 0
T13 1149850 1136282 0 0
T14 585832 573998 0 0
T15 25324 14884 0 0
T26 10582 156 0 0
T27 10582 156 0 0
T28 10556 130 0 0
T29 17342 6916 0 0
T30 20254 9828 0 0
T31 10478 52 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 1854 0 0
T19 23445 11 0 0
T20 730 0 0 0
T21 6772 0 0 0
T38 74710 5 0 0
T45 32182 0 0 0
T49 4917 15 0 0
T53 44108 19 0 0
T59 1138 0 0 0
T77 615 1 0 0
T83 0 1 0 0
T86 710 0 0 0
T91 844 0 0 0
T92 0 15 0 0
T93 0 2 0 0
T94 0 6 0 0
T95 0 5 0 0
T96 0 1 0 0
T97 0 30 0 0
T98 0 15 0 0
T99 0 13 0 0
T100 0 3 0 0
T101 0 6 0 0
T102 0 5 0 0
T103 0 3 0 0
T104 0 9 0 0
T105 0 1 0 0
T106 627 1 0 0
T107 4648 0 0 0
T108 842 0 0 0
T109 724 0 0 0
T110 929 0 0 0
T111 660 0 0 0
T112 402 0 0 0
T113 7912 0 0 0
T114 491 0 0 0
T115 526 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 1402201 0 0
T12 80370 135 0 0
T13 176900 138 0 0
T14 202788 209 0 0
T15 9740 0 0 0
T16 10980 0 0 0
T17 197766 201 0 0
T18 24617 453 0 0
T19 23445 0 0 0
T20 730 0 0 0
T21 0 3 0 0
T26 1628 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 147 0 0
T44 0 13 0 0
T45 16091 45 0 0
T48 0 235 0 0
T50 0 903 0 0
T51 0 44 0 0
T52 0 1043 0 0
T56 3430 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 8 0 0
T64 0 16 0 0
T86 0 13 0 0
T87 0 15 0 0
T88 0 7 0 0
T89 0 12 0 0
T90 0 18 0 0
T91 422 0 0 0
T116 0 7 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 5517 0 0
T12 80370 9 0 0
T13 176900 15 0 0
T14 202788 2 0 0
T15 9740 0 0 0
T16 10980 0 0 0
T17 197766 11 0 0
T18 24617 9 0 0
T19 23445 0 0 0
T20 730 0 0 0
T21 0 1 0 0
T26 1628 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 5 0 0
T44 0 4 0 0
T45 16091 3 0 0
T48 0 4 0 0
T50 0 7 0 0
T51 0 10 0 0
T52 0 19 0 0
T56 3430 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 1 0 0
T64 0 2 0 0
T86 0 2 0 0
T87 0 2 0 0
T88 0 1 0 0
T89 0 2 0 0
T90 0 2 0 0
T91 422 0 0 0
T116 0 2 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 193925691 0 0
T12 696540 628423 0 0
T13 1149850 1120051 0 0
T14 585832 550583 0 0
T15 25324 12622 0 0
T26 10582 156 0 0
T27 10582 156 0 0
T28 10556 130 0 0
T29 17342 6916 0 0
T30 20254 9828 0 0
T31 10478 52 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 193984839 0 0
T12 696540 628721 0 0
T13 1149850 1120488 0 0
T14 585832 550755 0 0
T15 25324 12644 0 0
T26 10582 182 0 0
T27 10582 182 0 0
T28 10556 156 0 0
T29 17342 6942 0 0
T30 20254 9854 0 0
T31 10478 78 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 9062 0 0
T12 133950 9 0 0
T13 221125 15 0 0
T14 202788 2 0 0
T15 9740 0 0 0
T16 9150 0 0 0
T17 164805 12 0 0
T18 24617 11 0 0
T19 23445 12 0 0
T20 730 0 0 0
T21 0 2 0 0
T26 2035 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 10 0 0
T44 0 2 0 0
T45 16091 3 0 0
T48 0 4 0 0
T49 0 15 0 0
T50 0 7 0 0
T53 0 29 0 0
T56 2450 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 2 0 0
T85 0 2 0 0
T86 0 2 0 0
T87 0 2 0 0
T88 0 1 0 0
T89 0 3 0 0
T90 0 2 0 0
T91 422 0 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 8326 0 0
T12 133950 9 0 0
T13 221125 15 0 0
T14 202788 2 0 0
T15 9740 0 0 0
T16 9150 0 0 0
T17 164805 11 0 0
T18 24617 9 0 0
T19 23445 11 0 0
T20 730 0 0 0
T21 0 1 0 0
T26 2035 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 10 0 0
T45 16091 3 0 0
T48 0 4 0 0
T49 0 15 0 0
T50 0 7 0 0
T53 0 29 0 0
T56 2450 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 1 0 0
T64 0 2 0 0
T86 0 2 0 0
T87 0 2 0 0
T88 0 1 0 0
T89 0 2 0 0
T90 0 2 0 0
T91 422 0 0 0
T116 0 2 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 5517 0 0
T12 80370 9 0 0
T13 176900 15 0 0
T14 202788 2 0 0
T15 9740 0 0 0
T16 10980 0 0 0
T17 197766 11 0 0
T18 24617 9 0 0
T19 23445 0 0 0
T20 730 0 0 0
T21 0 1 0 0
T26 1628 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 5 0 0
T44 0 4 0 0
T45 16091 3 0 0
T48 0 4 0 0
T50 0 7 0 0
T51 0 10 0 0
T52 0 19 0 0
T56 3430 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 1 0 0
T64 0 2 0 0
T86 0 2 0 0
T87 0 2 0 0
T88 0 1 0 0
T89 0 2 0 0
T90 0 2 0 0
T91 422 0 0 0
T116 0 2 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 5517 0 0
T12 80370 9 0 0
T13 176900 15 0 0
T14 202788 2 0 0
T15 9740 0 0 0
T16 10980 0 0 0
T17 197766 11 0 0
T18 24617 9 0 0
T19 23445 0 0 0
T20 730 0 0 0
T21 0 1 0 0
T26 1628 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 5 0 0
T44 0 4 0 0
T45 16091 3 0 0
T48 0 4 0 0
T50 0 7 0 0
T51 0 10 0 0
T52 0 19 0 0
T56 3430 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 1 0 0
T64 0 2 0 0
T86 0 2 0 0
T87 0 2 0 0
T88 0 1 0 0
T89 0 2 0 0
T90 0 2 0 0
T91 422 0 0 0
T116 0 2 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 220280814 1395904 0 0
T12 80370 126 0 0
T13 176900 123 0 0
T14 202788 206 0 0
T15 9740 0 0 0
T16 10980 0 0 0
T17 197766 190 0 0
T18 24617 444 0 0
T19 23445 0 0 0
T20 730 0 0 0
T21 0 2 0 0
T26 1628 0 0 0
T27 3663 0 0 0
T28 3654 0 0 0
T29 6003 0 0 0
T30 7011 0 0 0
T31 3627 0 0 0
T38 0 142 0 0
T44 0 9 0 0
T45 16091 42 0 0
T48 0 229 0 0
T50 0 893 0 0
T51 0 34 0 0
T52 0 1022 0 0
T56 3430 0 0 0
T57 121297 0 0 0
T58 426 0 0 0
T59 1138 0 0 0
T62 0 7 0 0
T64 0 14 0 0
T86 0 11 0 0
T87 0 13 0 0
T88 0 6 0 0
T89 0 10 0 0
T90 0 16 0 0
T91 422 0 0 0
T116 0 5 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 76251051 51474 0 0
T12 241110 57 0 0
T13 398025 22 0 0
T14 202788 56 0 0
T15 8766 6 0 0
T16 0 16 0 0
T17 0 18 0 0
T18 0 22 0 0
T19 0 9 0 0
T20 0 3 0 0
T21 0 66 0 0
T26 3663 2 0 0
T27 3663 0 0 0
T28 3654 1 0 0
T29 6003 4 0 0
T30 7011 2 0 0
T31 3627 0 0 0
T42 0 3 0 0
T43 0 1 0 0
T56 0 24 0 0
T57 0 2 0 0
T58 0 7 0 0
T68 0 5 0 0
T117 0 4 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 42361695 39008790 0 0
T12 133950 123665 0 0
T13 221125 218625 0 0
T14 112660 110445 0 0
T15 4870 2870 0 0
T26 2035 35 0 0
T27 2035 35 0 0
T28 2030 30 0 0
T29 3335 1335 0 0
T30 3895 1895 0 0
T31 2015 15 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 144029763 132629886 0 0
T12 455430 420461 0 0
T13 751825 743325 0 0
T14 383044 375513 0 0
T15 16558 9758 0 0
T26 6919 119 0 0
T27 6919 119 0 0
T28 6902 102 0 0
T29 11339 4539 0 0
T30 13243 6443 0 0
T31 6851 51 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 76251051 70215822 0 0
T12 241110 222597 0 0
T13 398025 393525 0 0
T14 202788 198801 0 0
T15 8766 5166 0 0
T26 3663 63 0 0
T27 3663 63 0 0
T28 3654 54 0 0
T29 6003 2403 0 0
T30 7011 3411 0 0
T31 3627 27 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 194863797 4553 0 0
T12 53580 9 0 0
T13 88450 15 0 0
T14 67596 1 0 0
T15 2922 0 0 0
T17 0 11 0 0
T18 0 9 0 0
T21 0 1 0 0
T26 814 0 0 0
T27 1221 0 0 0
T28 1218 0 0 0
T29 2001 0 0 0
T30 2337 0 0 0
T31 1209 0 0 0
T38 37355 5 0 0
T40 0 6 0 0
T43 638 0 0 0
T44 0 4 0 0
T45 16091 3 0 0
T48 10475 2 0 0
T49 4917 0 0 0
T50 0 4 0 0
T51 0 10 0 0
T53 22054 0 0 0
T56 490 0 0 0
T62 0 1 0 0
T64 0 2 0 0
T86 0 2 0 0
T87 0 2 0 0
T88 0 1 0 0
T89 0 2 0 0
T90 0 2 0 0
T91 422 0 0 0
T107 2324 0 0 0
T108 421 0 0 0
T116 0 2 0 0
T118 404 0 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 25417017 1567651 0 0
T16 3660 319 0 0
T17 65922 0 0 0
T18 49234 0 0 0
T19 46890 0 0 0
T20 1460 0 0 0
T21 13544 0 0 0
T38 74710 203 0 0
T39 2886 2078 0 0
T50 13809 0 0 0
T57 242594 0 0 0
T58 852 0 0 0
T59 2276 0 0 0
T60 1574 395 0 0
T61 0 218 0 0
T62 0 571 0 0
T63 0 1067 0 0
T64 0 443 0 0
T65 0 249 0 0
T66 0 478 0 0
T83 0 121 0 0
T84 0 369 0 0
T87 655 0 0 0
T119 0 449 0 0
T120 0 144 0 0
T121 0 631 0 0
T122 0 42 0 0
T123 0 153 0 0
T124 0 85 0 0
T125 526 0 0 0
T126 506 0 0 0
T127 449 0 0 0
T128 523 0 0 0
T129 501 0 0 0
T130 926 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%