Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.61 100.00 97.60 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 85.00 89.13 90.48 66.67 85.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 90.21 93.48 90.48 83.33 90.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 97.18 95.65 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 97.18 95.65 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 98.13 95.65 100.00 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 98.13 95.65 100.00 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 98.13 95.65 100.00 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 98.13 95.65 100.00 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 98.13 95.65 100.00 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
98.13 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
85.00 89.13
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
97.18 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
90.21 93.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
98.13 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
98.13 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
98.13 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
98.13 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
97.18 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T30
1CoveredT1,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T2,T30
10CoveredT1,T5,T6
11CoveredT1,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT1,T2,T3

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT1,T2,T3

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT1,T2,T3

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T30
11CoveredT1,T2,T3

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T35,T27
10CoveredT38,T61

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT38,T109,T61

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T2,T3
1-CoveredT1,T2,T3

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
98.13 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
85.00 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
97.18 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
90.21 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT1,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T6
10CoveredT1,T5,T6
11CoveredT1,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT16,T28,T29

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT16,T28,T29

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT16,T28,T29

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT16,T28,T29
10CoveredT1,T5,T13
11CoveredT16,T28,T29

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT16,T28,T29
01CoveredT39,T110,T111
10CoveredT61

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT16,T28,T29
01CoveredT16,T28,T29
10CoveredT38,T61

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT16,T28,T29
1-CoveredT16,T28,T29

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT2,T30,T4
1CoveredT1,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT2,T30,T4

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT2,T30,T4

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT2,T30,T4

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT2,T30,T4
10CoveredT2,T4,T48
11CoveredT2,T30,T4

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T30,T4
01CoveredT2,T30,T51
10CoveredT4,T48,T49

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT2,T4,T48
01CoveredT2,T4,T48
10CoveredT38,T112,T61

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT2,T4,T48
1-CoveredT2,T4,T48

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT1,T5,T13

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT9,T10,T12

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT23,T9,T10
10CoveredT1,T5,T13
11CoveredT23,T9,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT9,T10,T12
01CoveredT113,T114,T115
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT9,T10,T12
01Unreachable
10CoveredT9,T10,T12

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.13 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
98.13 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
98.13 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
98.13 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
97.18 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT1,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T6
10CoveredT1,T5,T6
11CoveredT1,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT7,T27,T43

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT7,T27,T43

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT7,T27,T43

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT7,T27,T42
10CoveredT1,T5,T6
11CoveredT7,T27,T43

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT7,T27,T43
01CoveredT27,T47,T116
10CoveredT61

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT7,T27,T43
01CoveredT7,T43,T37
10CoveredT38,T61

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT7,T27,T43
1-CoveredT7,T43,T37

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT5,T13,T14

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T6
10CoveredT5,T13,T14
11CoveredT5,T13,T14

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT23,T9,T10
10CoveredT5,T13,T14
11CoveredT23,T9,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT9,T10,T12
01CoveredT23,T79,T117
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT9,T10,T12
01Unreachable
10CoveredT9,T10,T12

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T13
1CoveredT1,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T13
10CoveredT1,T5,T6
11CoveredT1,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT23,T9,T10

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T5,T6
1CoveredT9,T10,T12

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT23,T9,T10
10CoveredT1,T5,T13
11CoveredT23,T9,T10

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT9,T10,T12
01CoveredT65,T81,T118
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT9,T10,T12
01Unreachable
10CoveredT9,T10,T12

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T16,T28,T29
DetectSt 168 Covered T16,T28,T29
IdleSt 163 Covered T1,T5,T6
StableSt 191 Covered T16,T28,T29


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T16,T28,T29
DebounceSt->IdleSt 163 Covered T37,T38,T119
DetectSt->IdleSt 186 Covered T65,T39,T110
DetectSt->StableSt 191 Covered T16,T28,T29
IdleSt->DebounceSt 148 Covered T16,T28,T29
StableSt->IdleSt 206 Covered T16,T28,T29



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.13 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
85.00 85.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
97.18 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.21 90.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
98.13 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.13 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.13 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.13 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
97.18 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T16,T28,T29
0 1 Covered T16,T28,T29
0 0 Covered T1,T5,T6


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T16,T28,T29
0 Covered T1,T5,T6


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T5,T6
0 Covered T1,T5,T6


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T16,T28,T29
IdleSt 0 - - - - - - Covered T1,T5,T6
DebounceSt - 1 - - - - - Covered T38,T61
DebounceSt - 0 1 1 - - - Covered T16,T28,T29
DebounceSt - 0 1 0 - - - Covered T23,T37,T119
DebounceSt - 0 0 - - - - Covered T16,T28,T29
DetectSt - - - - 1 - - Covered T65,T39,T110
DetectSt - - - - 0 1 - Covered T16,T28,T29
DetectSt - - - - 0 0 - Covered T1,T2,T3
StableSt - - - - - - 1 Covered T16,T28,T29
StableSt - - - - - - 0 Covered T16,T28,T29
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T5,T6
0 Covered T1,T5,T6


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T5,T6
0 Covered T1,T5,T6


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T30,T4
0 1 Covered T2,T30,T4
0 0 Covered T1,T5,T6


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T2,T30,T4
0 Covered T1,T5,T6


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T5,T6
0 Covered T1,T5,T6


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T2,T30,T4
IdleSt 0 - - - - - - Covered T1,T5,T13
DebounceSt - 1 - - - - - Covered T38,T61
DebounceSt - 0 1 1 - - - Covered T2,T30,T4
DebounceSt - 0 1 0 - - - Covered T23,T52,T65
DebounceSt - 0 0 - - - - Covered T2,T30,T4
DetectSt - - - - 1 - - Covered T2,T30,T4
DetectSt - - - - 0 1 - Covered T2,T4,T9
DetectSt - - - - 0 0 - Covered T2,T30,T4
StableSt - - - - - - 1 Covered T2,T4,T9
StableSt - - - - - - 0 Covered T2,T4,T9
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T5,T6
0 Covered T1,T5,T6


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 184849262 17872 0 0
CntIncr_A 184849262 1454600 0 0
CntNoWrap_A 184849262 168556690 0 0
DetectStDropOut_A 184849262 2167 0 0
DetectedOut_A 184849262 829951 0 0
DetectedPulseOut_A 184849262 5645 0 0
DisabledIdleSt_A 184849262 161994644 0 0
DisabledNoDetection_A 184849262 162051157 0 0
EnterDebounceSt_A 184849262 9266 0 0
EnterDetectSt_A 184849262 8624 0 0
EnterStableSt_A 184849262 5645 0 0
PulseIsPulse_A 184849262 5645 0 0
StayInStableSt 184849262 823406 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 63986283 50290 0 0
gen_high_event_sva.HighLevelEvent_A 35547935 32429890 0 0
gen_high_level_sva.HighLevelEvent_A 120862979 110261626 0 0
gen_low_level_sva.LowLevelEvent_A 63986283 58373802 0 0
gen_not_sticky_sva.StableStDropOut_A 163520501 4533 0 0
gen_sticky_sva.StableStDropOut_A 21328761 1145319 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 17872 0 0
T1 16996 4 0 0
T2 25388 36 0 0
T3 2766 2 0 0
T4 0 26 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 11 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 4 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T27 0 12 0 0
T28 724 6 0 0
T29 748 4 0 0
T30 5416 24 0 0
T31 2131 0 0 0
T35 0 10 0 0
T36 0 9 0 0
T38 0 17 0 0
T48 0 53 0 0
T49 0 38 0 0
T53 0 6 0 0
T54 0 4 0 0
T55 0 2 0 0
T57 0 2 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T119 0 2 0 0
T120 0 2 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 1454600 0 0
T1 16996 292 0 0
T2 25388 681 0 0
T3 2766 25 0 0
T4 0 676 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 655 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 54 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T27 0 523 0 0
T28 724 177 0 0
T29 748 107 0 0
T30 5416 664 0 0
T31 2131 0 0 0
T35 0 247 0 0
T36 0 558 0 0
T38 0 542 0 0
T48 0 1226 0 0
T49 0 1238 0 0
T53 0 75 0 0
T54 0 80 0 0
T55 0 21 0 0
T57 0 57072 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T119 0 133 0 0
T120 0 57 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 168556690 0 0
T1 441896 430774 0 0
T5 13572 3146 0 0
T6 10634 208 0 0
T13 13572 3146 0 0
T14 13052 2626 0 0
T15 15314 4888 0 0
T16 17888 7458 0 0
T17 10582 156 0 0
T18 10894 468 0 0
T19 13650 3224 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 2167 0 0
T27 30910 5 0 0
T30 5416 12 0 0
T34 0 5 0 0
T35 10047 5 0 0
T38 0 2 0 0
T42 3304 0 0 0
T48 9944 0 0 0
T49 11736 0 0 0
T51 0 26 0 0
T52 0 5 0 0
T54 713 0 0 0
T63 1072 0 0 0
T77 0 24 0 0
T110 10589 1 0 0
T111 34377 1 0 0
T121 0 26 0 0
T122 0 3 0 0
T123 5171 24 0 0
T124 0 3 0 0
T125 0 3 0 0
T126 0 9 0 0
T127 0 1 0 0
T128 0 1 0 0
T129 0 4 0 0
T130 0 1 0 0
T131 0 1 0 0
T132 402 0 0 0
T133 502 0 0 0
T134 427 0 0 0
T135 62929 0 0 0
T136 438 0 0 0
T137 2981 0 0 0
T138 407 0 0 0
T139 403 0 0 0
T140 616 0 0 0
T141 5220 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 829951 0 0
T1 16996 16 0 0
T2 25388 1271 0 0
T3 2766 3 0 0
T4 0 136 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 41 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 18 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T28 724 17 0 0
T29 748 10 0 0
T30 5416 0 0 0
T31 2131 0 0 0
T36 0 22 0 0
T37 0 81 0 0
T38 0 408 0 0
T48 0 1106 0 0
T49 0 466 0 0
T50 0 1086 0 0
T53 0 9 0 0
T54 0 12 0 0
T55 0 11 0 0
T57 0 7 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 5 0 0
T142 0 31 0 0
T143 0 10 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 5645 0 0
T1 16996 2 0 0
T2 25388 18 0 0
T3 2766 1 0 0
T4 0 13 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 5 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 2 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T28 724 3 0 0
T29 748 2 0 0
T30 5416 0 0 0
T31 2131 0 0 0
T36 0 4 0 0
T37 0 2 0 0
T38 0 5 0 0
T48 0 26 0 0
T49 0 19 0 0
T50 0 17 0 0
T53 0 3 0 0
T54 0 2 0 0
T55 0 1 0 0
T57 0 1 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 1 0 0
T142 0 3 0 0
T143 0 2 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 161994644 0 0
T1 441896 412862 0 0
T5 13572 3146 0 0
T6 10634 208 0 0
T13 13572 3146 0 0
T14 13052 2626 0 0
T15 15314 4888 0 0
T16 17888 7325 0 0
T17 10582 156 0 0
T18 10894 468 0 0
T19 13650 3224 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 162051157 0 0
T1 441896 412994 0 0
T5 13572 3172 0 0
T6 10634 234 0 0
T13 13572 3172 0 0
T14 13052 2652 0 0
T15 15314 4914 0 0
T16 17888 7351 0 0
T17 10582 182 0 0
T18 10894 494 0 0
T19 13650 3250 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 9266 0 0
T1 16996 2 0 0
T2 25388 18 0 0
T3 2766 1 0 0
T4 0 13 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 6 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 2 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T27 0 7 0 0
T28 724 3 0 0
T29 748 2 0 0
T30 5416 12 0 0
T31 2131 0 0 0
T35 0 5 0 0
T36 0 5 0 0
T38 0 10 0 0
T48 0 27 0 0
T49 0 19 0 0
T53 0 3 0 0
T54 0 2 0 0
T55 0 1 0 0
T57 0 1 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T119 0 2 0 0
T120 0 1 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 8624 0 0
T1 16996 2 0 0
T2 25388 18 0 0
T3 2766 1 0 0
T4 0 13 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 5 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 2 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T27 0 5 0 0
T28 724 3 0 0
T29 748 2 0 0
T30 5416 12 0 0
T31 2131 0 0 0
T35 0 5 0 0
T36 0 4 0 0
T48 0 26 0 0
T49 0 19 0 0
T53 0 3 0 0
T54 0 2 0 0
T55 0 1 0 0
T57 0 1 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 1 0 0
T142 0 3 0 0
T143 0 2 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 5645 0 0
T1 16996 2 0 0
T2 25388 18 0 0
T3 2766 1 0 0
T4 0 13 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 5 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 2 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T28 724 3 0 0
T29 748 2 0 0
T30 5416 0 0 0
T31 2131 0 0 0
T36 0 4 0 0
T37 0 2 0 0
T38 0 5 0 0
T48 0 26 0 0
T49 0 19 0 0
T50 0 17 0 0
T53 0 3 0 0
T54 0 2 0 0
T55 0 1 0 0
T57 0 1 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 1 0 0
T142 0 3 0 0
T143 0 2 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 5645 0 0
T1 16996 2 0 0
T2 25388 18 0 0
T3 2766 1 0 0
T4 0 13 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 5 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 2 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T28 724 3 0 0
T29 748 2 0 0
T30 5416 0 0 0
T31 2131 0 0 0
T36 0 4 0 0
T37 0 2 0 0
T38 0 5 0 0
T48 0 26 0 0
T49 0 19 0 0
T50 0 17 0 0
T53 0 3 0 0
T54 0 2 0 0
T55 0 1 0 0
T57 0 1 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 1 0 0
T142 0 3 0 0
T143 0 2 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 184849262 823406 0 0
T1 16996 14 0 0
T2 25388 1250 0 0
T3 2766 2 0 0
T4 0 123 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 36 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 16 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T28 724 14 0 0
T29 748 8 0 0
T30 5416 0 0 0
T31 2131 0 0 0
T36 0 18 0 0
T37 0 79 0 0
T38 0 403 0 0
T48 0 1078 0 0
T49 0 445 0 0
T50 0 1063 0 0
T53 0 6 0 0
T54 0 10 0 0
T55 0 10 0 0
T57 0 6 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 4 0 0
T142 0 28 0 0
T143 0 8 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 63986283 50290 0 0
T1 118972 76 0 0
T2 0 113 0 0
T3 0 41 0 0
T5 4698 42 0 0
T6 3681 0 0 0
T13 4698 48 0 0
T14 4518 40 0 0
T15 5301 5 0 0
T16 6192 9 0 0
T17 3663 0 0 0
T18 3771 0 0 0
T19 4725 49 0 0
T24 0 54 0 0
T26 0 43 0 0
T30 0 72 0 0
T31 4262 36 0 0
T58 0 45 0 0
T60 0 5 0 0
T72 0 6 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35547935 32429890 0 0
T1 84980 82875 0 0
T5 2610 610 0 0
T6 2045 45 0 0
T13 2610 610 0 0
T14 2510 510 0 0
T15 2945 945 0 0
T16 3440 1440 0 0
T17 2035 35 0 0
T18 2095 95 0 0
T19 2625 625 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 120862979 110261626 0 0
T1 288932 281775 0 0
T5 8874 2074 0 0
T6 6953 153 0 0
T13 8874 2074 0 0
T14 8534 1734 0 0
T15 10013 3213 0 0
T16 11696 4896 0 0
T17 6919 119 0 0
T18 7123 323 0 0
T19 8925 2125 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 63986283 58373802 0 0
T1 152964 149175 0 0
T5 4698 1098 0 0
T6 3681 81 0 0
T13 4698 1098 0 0
T14 4518 918 0 0
T15 5301 1701 0 0
T16 6192 2592 0 0
T17 3663 63 0 0
T18 3771 171 0 0
T19 4725 1125 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 163520501 4533 0 0
T1 16996 2 0 0
T2 25388 15 0 0
T3 2766 1 0 0
T4 0 13 0 0
T5 522 0 0 0
T6 409 0 0 0
T11 0 5 0 0
T13 522 0 0 0
T14 502 0 0 0
T15 589 0 0 0
T16 1376 2 0 0
T17 814 0 0 0
T18 838 0 0 0
T19 1050 0 0 0
T24 493 0 0 0
T26 522 0 0 0
T28 724 3 0 0
T29 748 2 0 0
T30 5416 0 0 0
T31 2131 0 0 0
T36 0 4 0 0
T37 0 2 0 0
T48 0 24 0 0
T49 0 17 0 0
T53 0 3 0 0
T54 0 2 0 0
T55 0 1 0 0
T57 0 1 0 0
T58 506 0 0 0
T59 406 0 0 0
T60 427 0 0 0
T120 0 1 0 0
T142 0 3 0 0
T143 0 2 0 0
T144 0 7 0 0
T145 0 4 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 21328761 1145319 0 0
T9 4653 182 0 0
T10 2118 109 0 0
T11 47793 0 0 0
T12 212790 46850 0 0
T52 12204 0 0 0
T53 19851 0 0 0
T65 0 289 0 0
T66 0 602 0 0
T76 1506 0 0 0
T79 0 1737 0 0
T80 0 81 0 0
T81 0 3065 0 0
T82 1506 0 0 0
T146 0 288 0 0
T147 0 384 0 0
T148 0 305649 0 0
T149 0 52 0 0
T150 0 113 0 0
T151 1278 0 0 0
T152 1323 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%