Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.09 100.00 95.01 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 96.66 97.83 90.48 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
96.66 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T6,T7
1CoveredT1,T4,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T6,T7
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T6,T7

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T6,T7

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T6,T7

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T6,T7
10CoveredT1,T2,T6
11CoveredT1,T6,T7

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T6,T7
01CoveredT1,T42,T62
10CoveredT42,T63

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T6,T7
01CoveredT1,T6,T7
10CoveredT42,T63,T64

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T6,T7
1-CoveredT1,T6,T7

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
96.66 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222090.91
Logical222090.91
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T4,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T18,T11

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T18,T11

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T18,T11

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T18,T11
10CoveredT1,T4,T5
11CoveredT1,T18,T11

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T18,T11
01CoveredT65,T66,T67
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T18,T11
01CoveredT1,T18,T11
10Not Covered

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T18,T11
1-CoveredT1,T18,T11

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT6,T7,T14
1CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT6,T7,T14

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT6,T7,T14

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT6,T7,T14

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT6,T7,T14
10CoveredT6,T7,T36
11CoveredT6,T7,T14

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT6,T7,T14
01CoveredT6,T7,T23
10CoveredT6,T7,T23

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT6,T7,T14
01CoveredT6,T7,T14
10CoveredT6,T63,T68

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT6,T7,T14
1-CoveredT6,T7,T14

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T8

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T8

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T8

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T8
10CoveredT1,T4,T5
11CoveredT1,T2,T8

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T8,T48
01CoveredT1,T69,T70
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT2,T8,T48
01Unreachable
10CoveredT2,T8,T48

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222090.91
Logical222090.91
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T4,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T3,T11

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T3,T11

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T3,T11

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T11
10CoveredT1,T4,T5
11CoveredT1,T3,T11

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T3,T11
01CoveredT34,T32,T71
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T3,T11
01CoveredT1,T3,T27
10Not Covered

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T3,T11
1-CoveredT1,T3,T27

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T4,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T8

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T8

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T8

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T8
10CoveredT1,T4,T5
11CoveredT1,T2,T8

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T8
01CoveredT69,T72,T73
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T8
01Unreachable
10CoveredT1,T2,T8

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T4,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT2,T8,T48

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT2,T8,T48

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT2,T8,T48

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T8
10CoveredT1,T4,T5
11CoveredT2,T8,T48

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T8,T48
01CoveredT74,T75,T76
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT2,T8,T48
01Unreachable
10CoveredT2,T8,T48

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T1,T18,T11
DetectSt 168 Covered T1,T18,T11
IdleSt 163 Covered T1,T4,T5
StableSt 191 Covered T1,T18,T11


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T1,T18,T11
DebounceSt->IdleSt 163 Covered T27,T42,T63
DetectSt->IdleSt 186 Covered T1,T65,T69
DetectSt->StableSt 191 Covered T1,T18,T11
IdleSt->DebounceSt 148 Covered T1,T18,T11
StableSt->IdleSt 206 Covered T1,T18,T11



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
96.66 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T18,T11
0 1 Covered T1,T18,T11
0 0 Covered T1,T4,T5


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T18,T11
0 Covered T1,T4,T5


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T1,T18,T11
IdleSt 0 - - - - - - Covered T1,T4,T5
DebounceSt - 1 - - - - - Covered T42,T63
DebounceSt - 0 1 1 - - - Covered T1,T18,T11
DebounceSt - 0 1 0 - - - Covered T27,T77,T32
DebounceSt - 0 0 - - - - Covered T1,T18,T11
DetectSt - - - - 1 - - Covered T65,T69,T72
DetectSt - - - - 0 1 - Covered T1,T18,T11
DetectSt - - - - 0 0 - Covered T1,T6,T7
StableSt - - - - - - 1 Covered T1,T18,T11
StableSt - - - - - - 0 Covered T1,T18,T11
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T6
0 0 Covered T1,T4,T5


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T6
0 Covered T1,T4,T5


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T1,T2,T6
IdleSt 0 - - - - - - Covered T1,T4,T5
DebounceSt - 1 - - - - - Covered T42,T63
DebounceSt - 0 1 1 - - - Covered T1,T2,T6
DebounceSt - 0 1 0 - - - Covered T8,T14,T42
DebounceSt - 0 0 - - - - Covered T1,T2,T6
DetectSt - - - - 1 - - Covered T1,T7,T23
DetectSt - - - - 0 1 - Covered T2,T6,T8
DetectSt - - - - 0 0 - Covered T6,T7,T14
StableSt - - - - - - 1 Covered T2,T6,T8
StableSt - - - - - - 0 Covered T2,T6,T8
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 205564710 18072 0 0
CntIncr_A 205564710 2348588 0 0
CntNoWrap_A 205564710 189584146 0 0
DetectStDropOut_A 205564710 1839 0 0
DetectedOut_A 205564710 2373844 0 0
DetectedPulseOut_A 205564710 6259 0 0
DisabledIdleSt_A 205564710 175936111 0 0
DisabledNoDetection_A 205564710 175991201 0 0
EnterDebounceSt_A 205564710 9328 0 0
EnterDetectSt_A 205564710 8755 0 0
EnterStableSt_A 205564710 6259 0 0
PulseIsPulse_A 205564710 6259 0 0
StayInStableSt 205564710 2366589 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 71157015 49431 0 0
gen_high_event_sva.HighLevelEvent_A 39531675 36473450 0 0
gen_high_level_sva.HighLevelEvent_A 134407695 124009730 0 0
gen_low_level_sva.LowLevelEvent_A 71157015 65652210 0 0
gen_not_sticky_sva.StableStDropOut_A 181845705 5053 0 0
gen_sticky_sva.StableStDropOut_A 23719005 3192771 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 18072 0 0
T1 91308 12 0 0
T2 13362 0 0 0
T3 3468 0 0 0
T4 2532 0 0 0
T5 3006 0 0 0
T6 94721 12 0 0
T7 81037 28 0 0
T8 2748350 0 0 0
T9 43260 4 0 0
T10 0 2 0 0
T11 0 3 0 0
T12 0 2 0 0
T13 6391 0 0 0
T14 90706 15 0 0
T18 3430 4 0 0
T21 0 1 0 0
T22 25205 14 0 0
T24 14316 40 0 0
T27 5873 0 0 0
T34 752 0 0 0
T36 0 64 0 0
T37 0 4 0 0
T38 0 4 0 0
T39 0 4 0 0
T40 0 2 0 0
T42 0 16 0 0
T43 0 4 0 0
T44 0 6 0 0
T45 2025 0 0 0
T46 2080 0 0 0
T47 2770 0 0 0
T50 1705 0 0 0
T61 0 4 0 0
T78 935 0 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 2348588 0 0
T1 91308 363 0 0
T2 13362 0 0 0
T3 3468 0 0 0
T4 2532 0 0 0
T5 3006 0 0 0
T6 94721 234 0 0
T7 81037 910 0 0
T8 2748350 0 0 0
T9 43260 65 0 0
T10 0 25 0 0
T11 0 116 0 0
T12 0 25 0 0
T13 6391 0 0 0
T14 90706 3571 0 0
T18 3430 93 0 0
T21 0 45 0 0
T22 25205 1296 0 0
T24 14316 964 0 0
T27 5873 0 0 0
T34 752 0 0 0
T36 0 2944 0 0
T37 0 268 0 0
T38 0 102 0 0
T39 0 84 0 0
T40 0 85 0 0
T42 0 238 0 0
T43 0 180 0 0
T44 0 96920 0 0
T45 2025 0 0 0
T46 2080 0 0 0
T47 2770 0 0 0
T50 1705 0 0 0
T61 0 26 0 0
T78 935 0 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 189584146 0 0
T1 395668 253727 0 0
T2 57902 16218 0 0
T3 15028 4589 0 0
T4 10972 546 0 0
T5 13026 2600 0 0
T6 223886 213210 0 0
T7 191542 181014 0 0
T8 6496100 6350846 0 0
T13 15106 4680 0 0
T14 214396 203918 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 1839 0 0
T1 15218 4 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 8611 0 0 0
T7 14734 5 0 0
T8 499700 0 0 0
T9 8652 0 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 686 0 0 0
T25 0 7 0 0
T41 0 26 0 0
T42 6182 1 0 0
T45 405 0 0 0
T46 416 0 0 0
T47 554 0 0 0
T51 136984 0 0 0
T62 0 2 0 0
T63 0 1 0 0
T79 0 27 0 0
T80 0 7 0 0
T81 0 17 0 0
T82 0 4 0 0
T83 0 18 0 0
T84 0 18 0 0
T85 0 14 0 0
T86 0 5 0 0
T87 0 6 0 0
T88 0 15 0 0
T89 0 4 0 0
T90 0 7 0 0
T91 0 1 0 0
T92 0 5 0 0
T93 423 0 0 0
T94 407 0 0 0
T95 542 0 0 0
T96 504 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 2373844 0 0
T1 15218 6 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 17222 221 0 0
T7 14734 0 0 0
T8 499700 0 0 0
T9 17304 4 0 0
T10 5718 3 0 0
T11 3368 2 0 0
T12 2846 3 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 0 14 0 0
T22 0 28 0 0
T24 0 1381 0 0
T26 0 108 0 0
T36 0 2328 0 0
T37 18637 44 0 0
T38 0 20 0 0
T39 0 8 0 0
T40 0 6 0 0
T42 0 400 0 0
T43 0 11 0 0
T44 0 17 0 0
T45 405 0 0 0
T52 495 0 0 0
T53 494 0 0 0
T57 1331 0 0 0
T59 0 1832 0 0
T61 0 15 0 0
T77 0 2 0 0
T93 423 0 0 0
T97 409 0 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 6259 0 0
T1 15218 2 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 17222 6 0 0
T7 14734 0 0 0
T8 499700 0 0 0
T9 17304 1 0 0
T10 5718 1 0 0
T11 3368 1 0 0
T12 2846 1 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 0 2 0 0
T22 0 6 0 0
T24 0 20 0 0
T26 0 2 0 0
T36 0 31 0 0
T37 18637 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T40 0 1 0 0
T42 0 6 0 0
T43 0 2 0 0
T44 0 3 0 0
T45 405 0 0 0
T52 495 0 0 0
T53 494 0 0 0
T57 1331 0 0 0
T59 0 26 0 0
T61 0 2 0 0
T77 0 1 0 0
T93 423 0 0 0
T97 409 0 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 175936111 0 0
T1 395668 248645 0 0
T2 57902 14994 0 0
T3 15028 3210 0 0
T4 10972 546 0 0
T5 13026 2600 0 0
T6 223886 197584 0 0
T7 191542 164247 0 0
T8 6496100 5623350 0 0
T13 15106 4680 0 0
T14 214396 180552 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 175991201 0 0
T1 395668 249098 0 0
T2 57902 15072 0 0
T3 15028 3228 0 0
T4 10972 572 0 0
T5 13026 2626 0 0
T6 223886 197626 0 0
T7 191542 164269 0 0
T8 6496100 5623687 0 0
T13 15106 4706 0 0
T14 214396 180574 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 9328 0 0
T1 91308 6 0 0
T2 13362 0 0 0
T3 3468 0 0 0
T4 2532 0 0 0
T5 3006 0 0 0
T6 94721 6 0 0
T7 81037 14 0 0
T8 2748350 0 0 0
T9 43260 3 0 0
T10 0 1 0 0
T11 0 2 0 0
T12 0 1 0 0
T13 6391 0 0 0
T14 90706 12 0 0
T18 3430 2 0 0
T21 0 1 0 0
T22 25205 8 0 0
T24 14316 20 0 0
T27 5873 0 0 0
T34 752 0 0 0
T36 0 33 0 0
T37 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T40 0 1 0 0
T42 0 10 0 0
T43 0 2 0 0
T44 0 3 0 0
T45 2025 0 0 0
T46 2080 0 0 0
T47 2770 0 0 0
T50 1705 0 0 0
T61 0 2 0 0
T78 935 0 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 8755 0 0
T1 76090 6 0 0
T2 11135 0 0 0
T3 2890 0 0 0
T4 2110 0 0 0
T5 2505 0 0 0
T6 94721 6 0 0
T7 81037 14 0 0
T8 2748350 0 0 0
T9 51912 1 0 0
T10 0 1 0 0
T11 0 1 0 0
T12 0 1 0 0
T13 6391 0 0 0
T14 90706 0 0 0
T18 4116 2 0 0
T22 25205 6 0 0
T24 14316 20 0 0
T27 5873 0 0 0
T34 752 0 0 0
T36 0 31 0 0
T37 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T40 0 1 0 0
T42 0 9 0 0
T43 0 2 0 0
T44 0 3 0 0
T45 2430 0 0 0
T46 2496 0 0 0
T47 3324 0 0 0
T50 1705 0 0 0
T59 0 26 0 0
T61 0 2 0 0
T77 0 1 0 0
T78 935 0 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 6259 0 0
T1 15218 2 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 17222 6 0 0
T7 14734 0 0 0
T8 499700 0 0 0
T9 17304 1 0 0
T10 5718 1 0 0
T11 3368 1 0 0
T12 2846 1 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 0 2 0 0
T22 0 6 0 0
T24 0 20 0 0
T26 0 2 0 0
T36 0 31 0 0
T37 18637 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T40 0 1 0 0
T42 0 6 0 0
T43 0 2 0 0
T44 0 3 0 0
T45 405 0 0 0
T52 495 0 0 0
T53 494 0 0 0
T57 1331 0 0 0
T59 0 26 0 0
T61 0 2 0 0
T77 0 1 0 0
T93 423 0 0 0
T97 409 0 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 6259 0 0
T1 15218 2 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 17222 6 0 0
T7 14734 0 0 0
T8 499700 0 0 0
T9 17304 1 0 0
T10 5718 1 0 0
T11 3368 1 0 0
T12 2846 1 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 0 2 0 0
T22 0 6 0 0
T24 0 20 0 0
T26 0 2 0 0
T36 0 31 0 0
T37 18637 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T40 0 1 0 0
T42 0 6 0 0
T43 0 2 0 0
T44 0 3 0 0
T45 405 0 0 0
T52 495 0 0 0
T53 494 0 0 0
T57 1331 0 0 0
T59 0 26 0 0
T61 0 2 0 0
T77 0 1 0 0
T93 423 0 0 0
T97 409 0 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 205564710 2366589 0 0
T1 15218 4 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 17222 214 0 0
T7 14734 0 0 0
T8 499700 0 0 0
T9 17304 3 0 0
T10 5718 2 0 0
T11 3368 1 0 0
T12 2846 2 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 0 12 0 0
T22 0 22 0 0
T24 0 1359 0 0
T26 0 106 0 0
T36 0 2291 0 0
T37 18637 42 0 0
T38 0 18 0 0
T39 0 6 0 0
T40 0 5 0 0
T42 0 394 0 0
T43 0 9 0 0
T44 0 14 0 0
T45 405 0 0 0
T52 495 0 0 0
T53 494 0 0 0
T57 1331 0 0 0
T59 0 1805 0 0
T61 0 13 0 0
T77 0 1 0 0
T93 423 0 0 0
T97 409 0 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 71157015 49431 0 0
T1 136962 254 0 0
T2 20043 128 0 0
T3 5202 4 0 0
T4 3798 17 0 0
T5 4509 45 0 0
T6 77499 192 0 0
T7 66303 202 0 0
T8 2248650 254 0 0
T9 0 252 0 0
T10 0 33 0 0
T11 0 9 0 0
T13 5229 2 0 0
T14 74214 177 0 0
T18 0 9 0 0
T46 0 13 0 0
T47 0 5 0 0
T93 0 3 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 39531675 36473450 0 0
T1 76090 48890 0 0
T2 11135 3135 0 0
T3 2890 890 0 0
T4 2110 110 0 0
T5 2505 505 0 0
T6 43055 41035 0 0
T7 36835 34835 0 0
T8 1249250 1221385 0 0
T13 2905 905 0 0
T14 41230 39230 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 134407695 124009730 0 0
T1 258706 166226 0 0
T2 37859 10659 0 0
T3 9826 3026 0 0
T4 7174 374 0 0
T5 8517 1717 0 0
T6 146387 139519 0 0
T7 125239 118439 0 0
T8 4247450 4152709 0 0
T13 9877 3077 0 0
T14 140182 133382 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 71157015 65652210 0 0
T1 136962 88002 0 0
T2 20043 5643 0 0
T3 5202 1602 0 0
T4 3798 198 0 0
T5 4509 909 0 0
T6 77499 73863 0 0
T7 66303 62703 0 0
T8 2248650 2198493 0 0
T13 5229 1629 0 0
T14 74214 70614 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181845705 5053 0 0
T1 15218 2 0 0
T2 2227 0 0 0
T3 578 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 17222 5 0 0
T7 14734 0 0 0
T8 499700 0 0 0
T9 17304 1 0 0
T10 5718 1 0 0
T11 3368 1 0 0
T12 2846 1 0 0
T13 1162 0 0 0
T14 16492 0 0 0
T18 0 2 0 0
T22 0 6 0 0
T24 0 18 0 0
T26 0 2 0 0
T37 18637 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T40 0 1 0 0
T42 0 6 0 0
T43 0 2 0 0
T44 0 3 0 0
T45 405 0 0 0
T52 495 0 0 0
T53 494 0 0 0
T57 1331 0 0 0
T59 0 25 0 0
T61 0 2 0 0
T77 0 1 0 0
T93 423 0 0 0
T97 409 0 0 0
T98 0 14 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23719005 3192771 0 0
T1 15218 78 0 0
T2 6681 343 0 0
T3 1734 0 0 0
T4 422 0 0 0
T5 501 0 0 0
T6 25833 0 0 0
T7 22101 0 0 0
T8 749550 788 0 0
T13 1743 0 0 0
T14 24738 0 0 0
T18 1372 0 0 0
T45 810 0 0 0
T46 832 0 0 0
T48 0 375 0 0
T49 0 396 0 0
T50 0 551 0 0
T51 0 272185 0 0
T60 0 308 0 0
T61 0 337 0 0
T69 0 499 0 0
T72 0 332420 0 0
T74 0 150 0 0
T99 0 237 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%