Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.35 100.00 96.30 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T15,T2
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T15,T2
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T15,T2

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T15,T2

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT1,T15,T2

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T15,T2
10CoveredT1,T15,T2
11CoveredT1,T15,T2

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T15,T2
01CoveredT1,T2,T54
10CoveredT100,T67

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T15,T2
01CoveredT1,T2,T7
10CoveredT100,T67,T101

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T15,T2
1-CoveredT1,T2,T7

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT3,T32,T33

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT3,T32,T33

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT3,T32,T33

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT3,T32,T33
10CoveredT4,T5,T6
11CoveredT3,T32,T33

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT3,T32,T33
01CoveredT43,T48,T102
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT3,T32,T33
01CoveredT3,T32,T33
10CoveredT67

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT3,T32,T33
1-CoveredT3,T32,T33

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT15,T35,T36
1CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT15,T35,T36

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT15,T35,T36

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT15,T35,T36

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT15,T35,T36
10CoveredT15,T35,T36
11CoveredT15,T35,T36

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT15,T35,T36
01CoveredT35,T36,T52
10CoveredT15,T35,T36

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT15,T35,T36
01CoveredT15,T35,T36
10CoveredT35,T85,T103

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT15,T35,T36
1-CoveredT15,T35,T36

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T69

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT8,T11,T29
10CoveredT4,T5,T6
11CoveredT8,T11,T29

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT8,T69,T90
01CoveredT11,T104,T105
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT8,T69,T90
01Unreachable
10CoveredT8,T69,T90

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT3,T10,T31

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT3,T10,T31

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT3,T10,T31

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT3,T10,T31
10CoveredT4,T5,T6
11CoveredT3,T10,T31

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT3,T10,T31
01CoveredT31,T48,T106
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT3,T10,T31
01CoveredT3,T10,T31
10CoveredT67

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT3,T10,T31
1-CoveredT3,T10,T31

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT8,T11,T29
10CoveredT4,T5,T6
11CoveredT8,T11,T29

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT8,T11,T29
01CoveredT8,T94,T104
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT8,T11,T29
01Unreachable
10CoveredT8,T11,T29

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT4,T5,T6

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT4,T5,T6
11CoveredT4,T5,T6

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T11,T29

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT8,T69,T90

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT8,T11,T29
10CoveredT4,T5,T6
11CoveredT8,T11,T29

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT8,T69,T90
01CoveredT90,T91,T107
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT8,T69,T90
01Unreachable
10CoveredT8,T69,T90

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T3,T32,T33
DetectSt 168 Covered T3,T32,T33
IdleSt 163 Covered T4,T5,T6
StableSt 191 Covered T3,T32,T33


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T3,T32,T33
DebounceSt->IdleSt 163 Covered T34,T43,T59
DetectSt->IdleSt 186 Covered T8,T11,T43
DetectSt->StableSt 191 Covered T3,T32,T33
IdleSt->DebounceSt 148 Covered T3,T32,T33
StableSt->IdleSt 206 Covered T3,T32,T33



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T3,T32,T33
0 1 Covered T3,T32,T33
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T3,T32,T33
0 Covered T4,T5,T6


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T3,T32,T33
IdleSt 0 - - - - - - Covered T4,T5,T6
DebounceSt - 1 - - - - - Covered T100,T67
DebounceSt - 0 1 1 - - - Covered T3,T32,T33
DebounceSt - 0 1 0 - - - Covered T34,T43,T59
DebounceSt - 0 0 - - - - Covered T3,T32,T33
DetectSt - - - - 1 - - Covered T8,T31,T43
DetectSt - - - - 0 1 - Covered T3,T32,T33
DetectSt - - - - 0 0 - Covered T1,T15,T2
StableSt - - - - - - 1 Covered T3,T32,T33
StableSt - - - - - - 0 Covered T3,T32,T33
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T15,T8,T11
0 1 Covered T15,T8,T11
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T15,T8,T11
0 Covered T4,T5,T6


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T15,T8,T11
IdleSt 0 - - - - - - Covered T4,T5,T6
DebounceSt - 1 - - - - - Covered T100,T67
DebounceSt - 0 1 1 - - - Covered T15,T8,T11
DebounceSt - 0 1 0 - - - Covered T29,T89,T88
DebounceSt - 0 0 - - - - Covered T15,T8,T11
DetectSt - - - - 1 - - Covered T15,T11,T35
DetectSt - - - - 0 1 - Covered T15,T8,T51
DetectSt - - - - 0 0 - Covered T15,T35,T36
StableSt - - - - - - 1 Covered T15,T8,T51
StableSt - - - - - - 0 Covered T15,T8,T51
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 181457952 18147 0 0
CntIncr_A 181457952 1826696 0 0
CntNoWrap_A 181457952 164697027 0 0
DetectStDropOut_A 181457952 1986 0 0
DetectedOut_A 181457952 2259168 0 0
DetectedPulseOut_A 181457952 5973 0 0
DisabledIdleSt_A 181457952 152650498 0 0
DisabledNoDetection_A 181457952 152706792 0 0
EnterDebounceSt_A 181457952 9389 0 0
EnterDetectSt_A 181457952 8782 0 0
EnterStableSt_A 181457952 5973 0 0
PulseIsPulse_A 181457952 5973 0 0
StayInStableSt 181457952 2252195 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 62812368 51214 0 0
gen_high_event_sva.HighLevelEvent_A 34895760 31687655 0 0
gen_high_level_sva.HighLevelEvent_A 118645584 107738027 0 0
gen_low_level_sva.LowLevelEvent_A 62812368 57037779 0 0
gen_not_sticky_sva.StableStDropOut_A 160520496 4731 0 0
gen_sticky_sva.StableStDropOut_A 20937456 2397378 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 18147 0 0
T1 35031 23 0 0
T2 18620 9 0 0
T7 0 2 0 0
T8 1533 0 0 0
T9 0 9 0 0
T12 0 4 0 0
T13 0 4 0 0
T14 423 0 0 0
T15 64360 16 0 0
T16 1472 0 0 0
T17 1132 0 0 0
T18 2050 0 0 0
T19 1008 0 0 0
T20 1054 0 0 0
T21 804 0 0 0
T22 1018 0 0 0
T31 0 24 0 0
T32 664 4 0 0
T33 766 4 0 0
T34 0 5 0 0
T41 702 0 0 0
T42 0 23 0 0
T54 12268 21 0 0
T55 0 2 0 0
T56 0 4 0 0
T58 0 4 0 0
T59 0 7 0 0
T60 0 4 0 0
T61 0 3 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T108 0 1 0 0
T109 0 2 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 1826696 0 0
T1 35031 1361 0 0
T2 18620 389 0 0
T7 0 159 0 0
T8 1533 0 0 0
T9 0 390 0 0
T12 0 124 0 0
T13 0 326 0 0
T14 423 0 0 0
T15 64360 447 0 0
T16 1472 0 0 0
T17 1132 0 0 0
T18 2050 0 0 0
T19 1008 0 0 0
T20 1054 0 0 0
T21 804 0 0 0
T22 1018 0 0 0
T31 0 624 0 0
T32 664 99 0 0
T33 766 142 0 0
T34 0 234 0 0
T41 702 0 0 0
T42 0 1171 0 0
T54 12268 454 0 0
T55 0 55695 0 0
T56 0 108 0 0
T58 0 105 0 0
T59 0 7059 0 0
T60 0 114 0 0
T61 0 30 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T108 0 20 0 0
T109 0 60 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 164697027 0 0
T1 910806 897785 0 0
T4 12844 2418 0 0
T5 11310 884 0 0
T6 13052 2626 0 0
T14 10998 572 0 0
T15 836680 824065 0 0
T16 19136 8710 0 0
T23 10972 546 0 0
T24 16900 6474 0 0
T25 10452 26 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 1986 0 0
T1 35031 4 0 0
T8 1533 0 0 0
T13 0 2 0 0
T31 19449 12 0 0
T33 766 0 0 0
T35 10543 6 0 0
T36 15901 16 0 0
T41 702 0 0 0
T42 31493 0 0 0
T45 542 0 0 0
T54 12268 10 0 0
T59 0 8 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T86 0 12 0 0
T95 424 0 0 0
T100 0 1 0 0
T103 0 8 0 0
T110 0 7 0 0
T111 0 5 0 0
T112 0 15 0 0
T113 0 15 0 0
T114 0 10 0 0
T115 0 2 0 0
T116 0 10 0 0
T117 0 29 0 0
T118 0 12 0 0
T119 0 2 0 0
T120 0 1 0 0
T121 510 0 0 0
T122 403 0 0 0
T123 408 0 0 0
T124 523 0 0 0
T125 427 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 2259168 0 0
T1 35031 56 0 0
T2 0 155 0 0
T7 0 16 0 0
T8 1533 0 0 0
T9 0 24 0 0
T12 0 100 0 0
T14 423 0 0 0
T15 32180 0 0 0
T16 736 0 0 0
T17 566 0 0 0
T18 1025 0 0 0
T19 504 0 0 0
T20 527 0 0 0
T21 402 0 0 0
T22 509 0 0 0
T32 664 18 0 0
T33 766 8 0 0
T34 0 24 0 0
T41 702 0 0 0
T42 0 1068 0 0
T51 22099 2419 0 0
T52 0 1501 0 0
T54 12268 0 0 0
T55 0 10 0 0
T56 0 10 0 0
T58 0 4 0 0
T59 0 20 0 0
T60 0 10 0 0
T61 0 5 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 9 0 0
T126 0 155 0 0
T127 0 3 0 0
T128 0 8 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 5973 0 0
T1 35031 11 0 0
T2 0 4 0 0
T7 0 1 0 0
T8 1533 0 0 0
T9 0 4 0 0
T12 0 2 0 0
T14 423 0 0 0
T15 32180 0 0 0
T16 736 0 0 0
T17 566 0 0 0
T18 1025 0 0 0
T19 504 0 0 0
T20 527 0 0 0
T21 402 0 0 0
T22 509 0 0 0
T32 664 2 0 0
T33 766 2 0 0
T34 0 2 0 0
T41 702 0 0 0
T42 0 11 0 0
T51 22099 24 0 0
T52 0 21 0 0
T54 12268 0 0 0
T55 0 1 0 0
T56 0 2 0 0
T58 0 2 0 0
T59 0 3 0 0
T60 0 2 0 0
T61 0 1 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 1 0 0
T126 0 2 0 0
T127 0 1 0 0
T128 0 2 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 152650498 0 0
T1 910806 880590 0 0
T4 12844 2418 0 0
T5 11310 884 0 0
T6 13052 2626 0 0
T14 10998 572 0 0
T15 836680 801116 0 0
T16 19136 8710 0 0
T23 10972 546 0 0
T24 16900 6474 0 0
T25 10452 26 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 152706792 0 0
T1 910806 880920 0 0
T4 12844 2444 0 0
T5 11310 910 0 0
T6 13052 2652 0 0
T14 10998 598 0 0
T15 836680 801402 0 0
T16 19136 8736 0 0
T23 10972 572 0 0
T24 16900 6500 0 0
T25 10452 52 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 9389 0 0
T1 35031 12 0 0
T2 18620 5 0 0
T7 0 1 0 0
T8 1533 0 0 0
T9 0 5 0 0
T12 0 2 0 0
T13 0 2 0 0
T14 423 0 0 0
T15 64360 8 0 0
T16 1472 0 0 0
T17 1132 0 0 0
T18 2050 0 0 0
T19 1008 0 0 0
T20 1054 0 0 0
T21 804 0 0 0
T22 1018 0 0 0
T31 0 12 0 0
T32 664 2 0 0
T33 766 2 0 0
T34 0 3 0 0
T41 702 0 0 0
T42 0 12 0 0
T54 12268 11 0 0
T55 0 1 0 0
T56 0 2 0 0
T58 0 2 0 0
T59 0 4 0 0
T60 0 2 0 0
T61 0 2 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T108 0 1 0 0
T109 0 1 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 8782 0 0
T1 35031 11 0 0
T2 18620 4 0 0
T7 0 1 0 0
T8 1533 0 0 0
T9 0 4 0 0
T12 0 2 0 0
T13 0 2 0 0
T14 423 0 0 0
T15 64360 8 0 0
T16 1472 0 0 0
T17 1132 0 0 0
T18 2050 0 0 0
T19 1008 0 0 0
T20 1054 0 0 0
T21 804 0 0 0
T22 1018 0 0 0
T31 0 12 0 0
T32 664 2 0 0
T33 766 2 0 0
T34 0 2 0 0
T41 702 0 0 0
T42 0 11 0 0
T51 0 24 0 0
T54 12268 10 0 0
T55 0 1 0 0
T56 0 2 0 0
T58 0 2 0 0
T59 0 3 0 0
T60 0 2 0 0
T61 0 1 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 1 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 5973 0 0
T1 35031 11 0 0
T2 0 4 0 0
T7 0 1 0 0
T8 1533 0 0 0
T9 0 4 0 0
T12 0 2 0 0
T14 423 0 0 0
T15 32180 0 0 0
T16 736 0 0 0
T17 566 0 0 0
T18 1025 0 0 0
T19 504 0 0 0
T20 527 0 0 0
T21 402 0 0 0
T22 509 0 0 0
T32 664 2 0 0
T33 766 2 0 0
T34 0 2 0 0
T41 702 0 0 0
T42 0 11 0 0
T51 22099 24 0 0
T52 0 21 0 0
T54 12268 0 0 0
T55 0 1 0 0
T56 0 2 0 0
T58 0 2 0 0
T59 0 3 0 0
T60 0 2 0 0
T61 0 1 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 1 0 0
T126 0 2 0 0
T127 0 1 0 0
T128 0 2 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 5973 0 0
T1 35031 11 0 0
T2 0 4 0 0
T7 0 1 0 0
T8 1533 0 0 0
T9 0 4 0 0
T12 0 2 0 0
T14 423 0 0 0
T15 32180 0 0 0
T16 736 0 0 0
T17 566 0 0 0
T18 1025 0 0 0
T19 504 0 0 0
T20 527 0 0 0
T21 402 0 0 0
T22 509 0 0 0
T32 664 2 0 0
T33 766 2 0 0
T34 0 2 0 0
T41 702 0 0 0
T42 0 11 0 0
T51 22099 24 0 0
T52 0 21 0 0
T54 12268 0 0 0
T55 0 1 0 0
T56 0 2 0 0
T58 0 2 0 0
T59 0 3 0 0
T60 0 2 0 0
T61 0 1 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 1 0 0
T126 0 2 0 0
T127 0 1 0 0
T128 0 2 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 181457952 2252195 0 0
T1 35031 45 0 0
T2 0 151 0 0
T7 0 15 0 0
T8 1533 0 0 0
T9 0 20 0 0
T12 0 98 0 0
T14 423 0 0 0
T15 32180 0 0 0
T16 736 0 0 0
T17 566 0 0 0
T18 1025 0 0 0
T19 504 0 0 0
T20 527 0 0 0
T21 402 0 0 0
T22 509 0 0 0
T32 664 16 0 0
T33 766 6 0 0
T34 0 22 0 0
T41 702 0 0 0
T42 0 1057 0 0
T51 22099 2391 0 0
T52 0 1478 0 0
T54 12268 0 0 0
T55 0 9 0 0
T56 0 8 0 0
T58 0 2 0 0
T59 0 17 0 0
T60 0 8 0 0
T61 0 4 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 8 0 0
T126 0 153 0 0
T127 0 2 0 0
T128 0 6 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 62812368 51214 0 0
T1 315279 96 0 0
T3 0 1 0 0
T4 4446 78 0 0
T5 3915 34 0 0
T6 4518 42 0 0
T14 3807 26 0 0
T15 289620 196 0 0
T16 6624 3 0 0
T17 0 3 0 0
T18 0 4 0 0
T19 0 43 0 0
T20 0 38 0 0
T22 0 56 0 0
T23 3798 23 0 0
T24 5850 3 0 0
T25 3618 0 0 0
T84 0 3 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34895760 31687655 0 0
T1 175155 172740 0 0
T4 2470 470 0 0
T5 2175 175 0 0
T6 2510 510 0 0
T14 2115 115 0 0
T15 160900 158570 0 0
T16 3680 1680 0 0
T23 2110 110 0 0
T24 3250 1250 0 0
T25 2010 10 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 118645584 107738027 0 0
T1 595527 587316 0 0
T4 8398 1598 0 0
T5 7395 595 0 0
T6 8534 1734 0 0
T14 7191 391 0 0
T15 547060 539138 0 0
T16 12512 5712 0 0
T23 7174 374 0 0
T24 11050 4250 0 0
T25 6834 34 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 62812368 57037779 0 0
T1 315279 310932 0 0
T4 4446 846 0 0
T5 3915 315 0 0
T6 4518 918 0 0
T14 3807 207 0 0
T15 289620 285426 0 0
T16 6624 3024 0 0
T23 3798 198 0 0
T24 5850 2250 0 0
T25 3618 18 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 160520496 4731 0 0
T1 35031 11 0 0
T2 0 4 0 0
T7 0 1 0 0
T8 1533 0 0 0
T9 0 4 0 0
T12 0 2 0 0
T14 423 0 0 0
T15 32180 0 0 0
T16 736 0 0 0
T17 566 0 0 0
T18 1025 0 0 0
T19 504 0 0 0
T20 527 0 0 0
T21 402 0 0 0
T22 509 0 0 0
T32 664 2 0 0
T33 766 2 0 0
T34 0 2 0 0
T41 702 0 0 0
T42 0 11 0 0
T51 22099 20 0 0
T52 0 19 0 0
T54 12268 0 0 0
T55 0 1 0 0
T56 0 2 0 0
T58 0 2 0 0
T59 0 3 0 0
T60 0 2 0 0
T61 0 1 0 0
T62 525 0 0 0
T63 423 0 0 0
T64 524 0 0 0
T65 527 0 0 0
T66 413 0 0 0
T109 0 1 0 0
T126 0 2 0 0
T127 0 1 0 0
T128 0 2 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 20937456 2397378 0 0
T8 4599 443 0 0
T9 47718 0 0 0
T10 2034 0 0 0
T11 0 27 0 0
T29 0 92 0 0
T68 5973 0 0 0
T69 0 215 0 0
T81 1512 0 0 0
T89 0 95 0 0
T90 0 706 0 0
T91 0 188 0 0
T92 0 439 0 0
T93 0 657578 0 0
T95 1272 0 0 0
T96 25221 0 0 0
T97 13242 0 0 0
T98 1365 0 0 0
T99 1302 0 0 0
T104 0 390 0 0
T107 0 483 0 0
T129 0 288 0 0
T130 0 287 0 0
T131 0 218332 0 0
T132 0 704340 0 0
T133 0 114 0 0
T134 0 108 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%