Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rv_core_ibex
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.02 94.12 89.29 98.53 100.00 68.18

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_rv_core_ibex 90.27 94.12 89.29 99.75 100.00 68.18



Module Instance : tb.dut.top_earlgrey.u_rv_core_ibex

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
90.27 94.12 89.29 99.75 100.00 68.18


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.46 97.42 95.97 98.10 98.66 92.14


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.34 90.68 89.34 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
fifo_d 100.00 100.00 100.00 100.00 100.00
fifo_i 93.75 75.00 100.00 100.00 100.00
gen_alert_senders[0].u_alert_sender 100.00 100.00
gen_alert_senders[1].u_alert_sender 75.00 75.00
gen_alert_senders[2].u_alert_sender 100.00 100.00
gen_alert_senders[3].u_alert_sender 79.17 79.17
tl_adapter_host_d_ibex 91.79 95.35 81.82 90.00 100.00
tl_adapter_host_i_ibex 87.90 90.48 72.22 88.89 100.00
u_alert_nmi_sync 100.00 100.00 100.00
u_core 95.91 95.91
u_core_sleeping_buf 100.00 100.00
u_dbus_trans 96.36 100.00 92.59 100.00 92.86
u_edn_if 89.08 100.00 86.44 94.87 75.00
u_ibus_trans 96.36 100.00 92.59 100.00 92.86
u_intr_timer_sync 100.00 100.00 100.00
u_lc_sync 100.00 100.00 100.00 100.00
u_prim_buf_irq 100.00 100.00
u_prim_esc_receiver 100.00 100.00
u_prim_lc_sender 100.00 100.00 100.00
u_prim_sync_reqack_data 91.67 100.00 66.67 100.00 100.00
u_pwrmgr_sync 100.00 100.00 100.00 100.00
u_reg_cfg 99.28 98.69 98.84 99.58 100.00
u_sim_win_rsp 89.32 77.27 80.00 100.00 100.00
u_tlul_req_buf 100.00 100.00
u_tlul_rsp_buf 100.00 100.00
u_wdog_nmi_sync 100.00 100.00 100.00

Line Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858094.12
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN752100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN760100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 0 1
753 1 1
754 1 1
757 1 1
760 0 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Module : rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT43,T115,T239
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT240,T241,T242
10CoveredT193,T20,T105

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT193,T20,T105

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT59,T243,T244
10CoveredT4,T5,T6
11CoveredT66,T67,T68

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT66,T67,T68
10CoveredT4,T5,T6
11CoveredT59,T243,T244

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT59,T243,T244
10CoveredT4,T5,T6
11CoveredT66,T67,T68

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT59,T243,T244
10CoveredT4,T5,T6
11CoveredT66,T67,T68

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT193,T20,T105
010CoveredT43,T115,T239
100CoveredT245,T246,T247

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

Toggle Coverage for Module : rv_core_ibex
TotalCoveredPercent
Totals 123 117 95.12
Total Bits 1628 1604 98.53
Total Bits 0->1 814 802 98.53
Total Bits 1->0 814 802 98.53

Ports 123 117 95.12
Port Bits 1628 1604 98.53
Port Bits 0->1 814 802 98.53
Port Bits 1->0 814 802 98.53

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T4,T42,T71 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.rf_cfg.cfg_en No No No INPUT
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] No No No INPUT
ram_cfg_i.ram_cfg.cfg_en No No No INPUT
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T85,T248,T249 Yes T85,T248,T249 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T4,T18,T100 Yes T4,T18,T100 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T18,T100 Yes T4,T18,T100 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T64,T79,T88 Yes T64,T79,T88 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T79,T208,T65 Yes T79,T208,T65 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T79,T208,T65 Yes T79,T208,T65 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T79,T208,T65 Yes T79,T208,T65 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T4,T42,T18 Yes T4,T42,T18 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T250,T251,T252 Yes T250,T251,T252 INPUT
irq_timer_i Yes Yes T253,T154,T254 Yes T253,T154,T254 INPUT
irq_external_i Yes Yes T4,T5,T17 Yes T4,T5,T17 INPUT
esc_tx_i.esc_n Yes Yes T4,T17,T42 Yes T4,T17,T42 INPUT
esc_tx_i.esc_p Yes Yes T4,T17,T42 Yes T4,T17,T42 INPUT
esc_rx_o.resp_n Yes Yes T4,T17,T42 Yes T4,T17,T42 OUTPUT
esc_rx_o.resp_p Yes Yes T4,T17,T42 Yes T4,T17,T42 OUTPUT
nmi_wdog_i Yes Yes T255,T107,T99 Yes T255,T107,T99 INPUT
debug_req_i Yes Yes T256,T257,T258 Yes T256,T257,T258 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T4,T17,T42 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T85,*T86,*T89 Yes T85,T86,T89 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T258,*T85,*T86 Yes T258,T85,T86 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T85,T86,T89 Yes T85,T86,T89 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T85,T86,T89 Yes T85,T86,T89 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T85,T86,T89 Yes T85,T86,T89 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T85,T86,T89 Yes T85,T86,T89 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T85,*T86,*T89 Yes T258,T85,T86 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T85,T86,T89 Yes T85,T86,T89 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T6,T43,T42 Yes T5,T6,T43 INPUT
edn_i.edn_fips Yes Yes T71,T259,T119 Yes T71,T259,T119 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T18,T180,T181 Yes T18,T180,T181 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T4,T6,T43 Yes T4,T6,T43 INPUT
icache_otp_key_i.key[127:0] Yes Yes T6,T43,T95 Yes T6,T43,T42 INPUT
icache_otp_key_i.ack Yes Yes T180,T182,T183 Yes T180,T182,T183 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T91,T92,T93 Yes T91,T92,T93 INPUT
alert_rx_i[0].ping_n Yes Yes T91,T92,T93 Yes T91,T92,T93 INPUT
alert_rx_i[0].ping_p Yes Yes T91,T92,T93 Yes T91,T92,T93 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T102,T59,T92 Yes T102,T59,T92 INPUT
alert_rx_i[1].ping_n Yes Yes T102,T92,T93 Yes T102,T92,T93 INPUT
alert_rx_i[1].ping_p Yes Yes T102,T92,T93 Yes T102,T92,T93 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T43,T92,T115 Yes T43,T92,T115 INPUT
alert_rx_i[2].ping_n Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[2].ping_p Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[3].ping_n Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[3].ping_p Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T91,T92,T93 Yes T91,T92,T93 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T102,T59,T92 Yes T102,T59,T92 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T43,T92,T115 Yes T43,T260,T92 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T92,T93,T157 Yes T92,T93,T157 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T193,T20,T105
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T240,T241,T242
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Module : rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 533348296 10 0 0
FpvSecCmIbexFetchEnable1_A 533348296 25195178 0 106
FpvSecCmIbexFetchEnable2_A 533348296 66338366 0 96
FpvSecCmIbexFetchEnable3Rev_A 533348296 462067175 0 2024
FpvSecCmIbexFetchEnable3_A 533348296 462069076 0 1905
FpvSecCmIbexInstrIntgErrCheck_A 533348296 311 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 533348296 594 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 533348296 0 0 0
FpvSecCmIbexPcMismatchCheck_A 533348296 0 0 0
FpvSecCmIbexRfEccErrCheck_A 533348296 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 533348296 0 0 0
FpvSecCmRegWeOnehotCheck_A 533348296 7 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 533348296 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 533348296 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 533348296 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1017 1017 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1017 1017 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1017 1017 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1017 1017 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1017 1017 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 533348296 194 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 533348296 197 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 10 0 0
T78 188217 0 0 0
T81 110277 0 0 0
T132 223261 0 0 0
T139 206160 0 0 0
T171 370022 0 0 0
T240 273038 1 0 0
T241 0 1 0 0
T242 0 1 0 0
T261 0 1 0 0
T262 0 1 0 0
T263 0 1 0 0
T264 0 1 0 0
T265 0 1 0 0
T266 0 1 0 0
T267 0 1 0 0
T268 287256 0 0 0
T269 211438 0 0 0
T270 292568 0 0 0
T271 67067 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 25195178 0 106
T4 211772 70651 0 0
T5 69794 9923 0 0
T6 943665 9919 0 0
T17 157242 9923 0 0
T42 242020 40612 0 0
T43 145379 9931 0 0
T53 0 0 0 2
T54 0 0 0 2
T55 0 0 0 2
T63 0 0 0 2
T64 0 0 0 2
T70 152386 9923 0 0
T71 133404 19311 0 0
T79 0 0 0 2
T88 0 0 0 2
T95 124823 9927 0 0
T96 42230 9931 0 0
T163 0 0 0 2
T272 0 0 0 2
T273 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 66338366 0 96
T4 211772 69554 0 0
T5 69794 34775 0 0
T6 943665 34775 0 0
T17 157242 38303 0 0
T21 0 0 0 2
T42 242020 69555 0 0
T43 145379 34775 0 0
T53 0 0 0 2
T54 0 0 0 2
T55 0 0 0 2
T64 0 0 0 2
T70 152386 37808 0 0
T71 133404 72249 0 0
T79 0 0 0 2
T88 0 0 0 2
T95 124823 34775 0 0
T96 42230 34775 0 0
T272 0 0 0 2
T273 0 0 0 2
T274 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 462067175 0 2024
T4 211772 91305 0 2
T5 69794 34961 0 2
T6 943665 908832 0 2
T17 157242 118883 0 2
T42 242020 151596 0 2
T43 145379 110543 0 2
T70 152386 114523 0 2
T71 133404 126168 0 2
T95 124823 89983 0 2
T96 42230 7390 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 462069076 0 1905
T4 211772 91307 0 2
T5 69794 34962 0 2
T6 943665 908833 0 2
T17 157242 118886 0 2
T42 242020 151598 0 2
T43 145379 110544 0 2
T70 152386 114525 0 2
T71 133404 126169 0 2
T95 124823 89984 0 2
T96 42230 7391 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 311 0 0
T154 199271 0 0 0
T174 85521 0 0 0
T275 298119 78 0 0
T276 0 77 0 0
T277 0 78 0 0
T278 0 78 0 0
T279 133916 0 0 0
T280 235816 0 0 0
T281 263764 0 0 0
T282 248096 0 0 0
T283 193772 0 0 0
T284 129119 0 0 0
T285 134983 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 594 0 0
T17 157242 0 0 0
T18 244257 0 0 0
T42 242020 0 0 0
T43 145379 100 0 0
T70 152386 0 0 0
T71 133404 0 0 0
T95 124823 0 0 0
T96 42230 0 0 0
T115 0 32 0 0
T177 98011 0 0 0
T178 0 32 0 0
T179 0 32 0 0
T215 295235 0 0 0
T239 0 100 0 0
T286 0 31 0 0
T287 0 32 0 0
T288 0 31 0 0
T289 0 31 0 0
T290 0 1 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 7 0 0
T116 83122 0 0 0
T180 66429 0 0 0
T186 153579 0 0 0
T192 199268 0 0 0
T245 150858 1 0 0
T246 0 1 0 0
T247 0 1 0 0
T291 0 1 0 0
T292 0 1 0 0
T293 0 1 0 0
T294 0 1 0 0
T295 86570 0 0 0
T296 113086 0 0 0
T297 71922 0 0 0
T298 364251 0 0 0
T299 75256 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 194 0 0
T116 83122 0 0 0
T119 368899 0 0 0
T180 66429 13 0 0
T182 0 33 0 0
T183 0 33 0 0
T186 153579 0 0 0
T192 199268 0 0 0
T297 71922 0 0 0
T298 364251 0 0 0
T299 75256 0 0 0
T300 0 34 0 0
T301 0 49 0 0
T302 0 32 0 0
T303 107439 0 0 0
T304 132821 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 197 0 0
T18 244257 16 0 0
T19 753509 0 0 0
T61 205745 0 0 0
T74 288308 0 0 0
T177 98011 0 0 0
T180 0 3 0 0
T181 0 16 0 0
T182 0 42 0 0
T183 0 42 0 0
T193 209674 0 0 0
T215 295235 0 0 0
T255 280374 0 0 0
T300 0 42 0 0
T301 0 12 0 0
T302 0 8 0 0
T305 0 16 0 0
T306 139477 0 0 0
T307 148853 0 0 0

Line Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
TOTAL858094.12
CONT_ASSIGN20211100.00
CONT_ASSIGN20311100.00
CONT_ASSIGN21611100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26511100.00
CONT_ASSIGN26811100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34811100.00
CONT_ASSIGN36311100.00
ALWAYS49233100.00
CONT_ASSIGN51211100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN51411100.00
CONT_ASSIGN51511100.00
ALWAYS51888100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70211100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70811100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN70911100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71711100.00
CONT_ASSIGN71811100.00
CONT_ASSIGN71911100.00
CONT_ASSIGN72211100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN72611100.00
CONT_ASSIGN72811100.00
CONT_ASSIGN73511100.00
CONT_ASSIGN73711100.00
CONT_ASSIGN73911100.00
CONT_ASSIGN74111100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN752100.00
CONT_ASSIGN75311100.00
CONT_ASSIGN75411100.00
CONT_ASSIGN75711100.00
CONT_ASSIGN760100.00
ALWAYS7921111100.00
ALWAYS80877100.00
CONT_ASSIGN81911100.00
CONT_ASSIGN83811100.00
CONT_ASSIGN83911100.00
CONT_ASSIGN84011100.00
CONT_ASSIGN843100.00
CONT_ASSIGN84700
CONT_ASSIGN88611100.00
ALWAYS94500
CONT_ASSIGN986100.00
CONT_ASSIGN988100.00
CONT_ASSIGN99011100.00
CONT_ASSIGN99211100.00
CONT_ASSIGN99411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
202 1 1
203 1 1
216 1 1
217 1 1
218 1 1
225 1 1
263 1 1
265 1 1
268 1 1
342 1 1
348 1 1
363 1 1
492 1 1
493 1 1
495 1 1
512 1 1
513 1 1
514 1 1
515 1 1
518 1 1
519 1 1
520 1 1
521 1 1
522 1 1
523 1 1
524 1 1
525 1 1
MISSING_ELSE
702 2 2
703 2 2
704 2 2
708 2 2
709 2 2
710 2 2
717 1 1
718 1 1
719 1 1
722 1 1
724 1 1
726 1 1
728 1 1
735 1 1
737 1 1
739 1 1
741 1 1
751 1 1
752 0 1
753 1 1
754 1 1
757 1 1
760 0 1
792 1 1
793 1 1
794 1 1
796 1 1
797 1 1
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE
808 1 1
809 1 1
810 1 1
811 1 1
813 1 1
814 1 1
815 1 1
819 1 1
838 1 1
839 1 1
840 1 1
843 0 1
847 unreachable
886 1 1
945 unreachable
946 unreachable
947 unreachable
948 unreachable
==> MISSING_ELSE
986 0 1
988 0 1
990 1 1
992 1 1
994 1 1


Cond Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Conditions282589.29
Logical282589.29
Non-Logical00
Event00

 LINE       216
 EXPRESSION (ibus_intg_err | dbus_intg_err | alert_major_bus)
             ------1------   ------2------   -------3-------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT43,T115,T239
010Not Covered
100Not Covered

 LINE       217
 EXPRESSION (alert_major_internal | double_fault)
             ----------1---------   ------2-----
-1--2-StatusTests
00CoveredT4,T5,T6
01CoveredT240,T241,T242
10CoveredT193,T20,T105

 LINE       348
 EXPRESSION (fatal_core_err ? Off : local_fetch_enable_q)
             -------1------
-1-StatusTests
0CoveredT4,T5,T6
1CoveredT193,T20,T105

 LINE       735
 EXPRESSION (reg2hw.alert_test.fatal_sw_err.q & reg2hw.alert_test.fatal_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT59,T243,T244
10CoveredT4,T5,T6
11CoveredT66,T67,T68

 LINE       737
 EXPRESSION (reg2hw.alert_test.recov_sw_err.q & reg2hw.alert_test.recov_sw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT66,T67,T68
10CoveredT4,T5,T6
11CoveredT59,T243,T244

 LINE       739
 EXPRESSION (reg2hw.alert_test.fatal_hw_err.q & reg2hw.alert_test.fatal_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT59,T243,T244
10CoveredT4,T5,T6
11CoveredT66,T67,T68

 LINE       741
 EXPRESSION (reg2hw.alert_test.recov_hw_err.q & reg2hw.alert_test.recov_hw_err.qe)
             ----------------1---------------   ----------------2----------------
-1--2-StatusTests
01CoveredT59,T243,T244
10CoveredT4,T5,T6
11CoveredT66,T67,T68

 LINE       753
 EXPRESSION (intg_err | fatal_intg_err | fatal_core_err)
             ----1---   -------2------   -------3------
-1--2--3-StatusTests
000CoveredT4,T5,T6
001CoveredT193,T20,T105
010CoveredT43,T115,T239
100CoveredT245,T246,T247

 LINE       800
 EXPRESSION (edn_req && edn_ack)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T6
11CoveredT4,T5,T6

Toggle Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalCoveredPercent
Totals 119 117 98.32
Total Bits 1608 1604 99.75
Total Bits 0->1 804 802 99.75
Total Bits 1->0 804 802 99.75

Ports 119 117 98.32
Port Bits 1608 1604 99.75
Port Bits 0->1 804 802 99.75
Port Bits 1->0 804 802 99.75

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirectionExclude Annotation
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
clk_edn_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_edn_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
rst_cpu_n_o Yes Yes T4,T42,T71 Yes T4,T5,T6 OUTPUT
ram_cfg_i.rf_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.rf_cfg.test No No No INPUT
ram_cfg_i.ram_cfg.cfg[3:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.cfg_en[0:0] Excluded Excluded Excluded INPUT [LOW_RISK] Covered in formal conn: hw/top_earlgrey/formal/conn_csvs/ast_mem_cfg.csv
ram_cfg_i.ram_cfg.test No No No INPUT
hart_id_i[31:0] Unreachable Unreachable Unreachable INPUT
boot_addr_i[31:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_o.d_ready Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_user.data_intg[6:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_user.instr_type[3:0] Yes Yes T85,T248,T249 Yes T85,T248,T249 OUTPUT
corei_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_data[31:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_mask[3:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_address[31:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_size[1:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
corei_tl_h_o.a_opcode[2:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 OUTPUT
corei_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
corei_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_error Yes Yes T4,T18,T100 Yes T4,T18,T100 INPUT
corei_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T18,T100 Yes T4,T18,T100 INPUT
corei_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_sink Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
corei_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_size[1:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
corei_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
corei_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
corei_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_o.d_ready Yes Yes T64,T79,T88 Yes T64,T79,T88 OUTPUT
cored_tl_h_o.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_user.instr_type[3:0] Yes Yes T79,T208,T65 Yes T79,T208,T65 OUTPUT
cored_tl_h_o.a_user.rsvd[4:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_address[31:0] Yes Yes T79,T208,T65 Yes T79,T208,T65 OUTPUT
cored_tl_h_o.a_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_size[1:0] Yes Yes T79,T208,T65 Yes T79,T208,T65 OUTPUT
cored_tl_h_o.a_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cored_tl_h_o.a_opcode[2:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_o.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cored_tl_h_i.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_error Yes Yes T4,T42,T18 Yes T4,T42,T18 INPUT
cored_tl_h_i.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_sink Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
cored_tl_h_i.d_source[5:0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_source[7:6] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_size[1:0] Yes Yes T85,T86,T87 Yes T85,T86,T87 INPUT
cored_tl_h_i.d_param[2:0] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cored_tl_h_i.d_opcode[2:1] Unreachable Unreachable Unreachable INPUT
cored_tl_h_i.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
irq_software_i Yes Yes T250,T251,T252 Yes T250,T251,T252 INPUT
irq_timer_i Yes Yes T253,T154,T254 Yes T253,T154,T254 INPUT
irq_external_i Yes Yes T4,T5,T17 Yes T4,T5,T17 INPUT
esc_tx_i.esc_n Yes Yes T4,T17,T42 Yes T4,T17,T42 INPUT
esc_tx_i.esc_p Yes Yes T4,T17,T42 Yes T4,T17,T42 INPUT
esc_rx_o.resp_n Yes Yes T4,T17,T42 Yes T4,T17,T42 OUTPUT
esc_rx_o.resp_p Yes Yes T4,T17,T42 Yes T4,T17,T42 OUTPUT
nmi_wdog_i Yes Yes T255,T107,T99 Yes T255,T107,T99 INPUT
debug_req_i Yes Yes T256,T257,T258 Yes T256,T257,T258 INPUT
crash_dump_o.current.exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.last_data_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.next_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.current.current_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_addr[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_exception_pc[31:0] Unreachable Unreachable Unreachable OUTPUT
crash_dump_o.prev_valid Unreachable Unreachable Unreachable OUTPUT
lc_cpu_en_i[3:0] Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
pwrmgr_cpu_en_i[3:0] Yes Yes T4,T17,T42 Yes T4,T5,T6 INPUT
pwrmgr_o.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
scan_rst_ni Unreachable Unreachable Unreachable INPUT
scanmode_i[3:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.d_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.cmd_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.instr_type[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_mask[3:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[7:0] Yes Yes *T85,*T86,*T89 Yes T85,T86,T89 INPUT
cfg_tl_d_i.a_address[15:8] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[20:16] Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[23:21] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[24] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[29:25] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_address[30] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 INPUT
cfg_tl_d_i.a_address[31] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_source[5:0] Yes Yes *T258,*T85,*T86 Yes T258,T85,T86 INPUT
cfg_tl_d_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_size[1:0] Yes Yes T85,T86,T89 Yes T85,T86,T89 INPUT
cfg_tl_d_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
cfg_tl_d_i.a_opcode[2:0] Yes Yes T85,T86,T89 Yes T85,T86,T89 INPUT
cfg_tl_d_i.a_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
cfg_tl_d_o.a_ready Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_error Yes Yes T85,T86,T89 Yes T85,T86,T89 OUTPUT
cfg_tl_d_o.d_user.data_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_user.rsp_intg[6:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_data[31:0] Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_sink Yes Yes T85,T86,T89 Yes T85,T86,T89 OUTPUT
cfg_tl_d_o.d_source[5:0] Yes Yes *T85,*T86,*T89 Yes T258,T85,T86 OUTPUT
cfg_tl_d_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_size[1:0] Yes Yes T85,T86,T89 Yes T85,T86,T89 OUTPUT
cfg_tl_d_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_opcode[0] Yes Yes *T4,*T5,*T6 Yes T4,T5,T6 OUTPUT
cfg_tl_d_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
cfg_tl_d_o.d_valid Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_o.edn_req Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
edn_i.edn_bus[31:0] Yes Yes T6,T43,T42 Yes T5,T6,T43 INPUT
edn_i.edn_fips Yes Yes T71,T259,T119 Yes T71,T259,T119 INPUT
edn_i.edn_ack Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_otp_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_otp_ni Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
icache_otp_key_o.req Yes Yes T18,T180,T181 Yes T18,T180,T181 OUTPUT
icache_otp_key_i.seed_valid Yes Yes T4,T42,T71 Yes T4,T5,T6 INPUT
icache_otp_key_i.nonce[127:0] Yes Yes T4,T6,T43 Yes T4,T6,T43 INPUT
icache_otp_key_i.key[127:0] Yes Yes T6,T43,T95 Yes T6,T43,T42 INPUT
icache_otp_key_i.ack Yes Yes T180,T182,T183 Yes T180,T182,T183 INPUT
fpga_info_i[31:0] Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T91,T92,T93 Yes T91,T92,T93 INPUT
alert_rx_i[0].ping_n Yes Yes T91,T92,T93 Yes T91,T92,T93 INPUT
alert_rx_i[0].ping_p Yes Yes T91,T92,T93 Yes T91,T92,T93 INPUT
alert_rx_i[1].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[1].ack_p Yes Yes T102,T59,T92 Yes T102,T59,T92 INPUT
alert_rx_i[1].ping_n Yes Yes T102,T92,T93 Yes T102,T92,T93 INPUT
alert_rx_i[1].ping_p Yes Yes T102,T92,T93 Yes T102,T92,T93 INPUT
alert_rx_i[2].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[2].ack_p Yes Yes T43,T92,T115 Yes T43,T92,T115 INPUT
alert_rx_i[2].ping_n Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[2].ping_p Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[3].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[3].ack_p Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[3].ping_n Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_rx_i[3].ping_p Yes Yes T92,T93,T157 Yes T92,T93,T157 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T91,T92,T93 Yes T91,T92,T93 OUTPUT
alert_tx_o[1].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[1].alert_p Yes Yes T102,T59,T92 Yes T102,T59,T92 OUTPUT
alert_tx_o[2].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[2].alert_p Yes Yes T43,T92,T115 Yes T43,T260,T92 OUTPUT
alert_tx_o[3].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[3].alert_p Yes Yes T92,T93,T157 Yes T92,T93,T157 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 348 2 2 100.00
IF 492 2 2 100.00
IF 518 3 3 100.00
IF 796 3 3 100.00
IF 808 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv' or '../src/lowrisc_ip_rv_core_ibex_0.1/rtl/rv_core_ibex.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 348 (fatal_core_err) ?

Branches:
-1-StatusTests
1 Covered T193,T20,T105
0 Covered T4,T5,T6


LineNo. Expression -1-: 492 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


LineNo. Expression -1-: 518 if ((!rst_ni)) -2-: 522 if (double_fault)

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T240,T241,T242
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 796 if (reg2hw.rnd_data.re) -2-: 800 if ((edn_req && edn_ack))

Branches:
-1--2-StatusTests
1 - Covered T4,T5,T6
0 1 Covered T4,T5,T6
0 0 Covered T4,T5,T6


LineNo. Expression -1-: 808 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T5,T6
0 Covered T4,T5,T6


Assert Coverage for Instance : tb.dut.top_earlgrey.u_rv_core_ibex
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 15 68.18
Cover properties 0 0 0
Cover sequences 0 0 0
Total 22 22 100.00 15 68.18




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FpvSecCmIbexFetchEnable0_A 533348296 10 0 0
FpvSecCmIbexFetchEnable1_A 533348296 25195178 0 106
FpvSecCmIbexFetchEnable2_A 533348296 66338366 0 96
FpvSecCmIbexFetchEnable3Rev_A 533348296 462067175 0 2024
FpvSecCmIbexFetchEnable3_A 533348296 462069076 0 1905
FpvSecCmIbexInstrIntgErrCheck_A 533348296 311 0 0
FpvSecCmIbexLoadRespIntgErrCheck_A 533348296 594 0 0
FpvSecCmIbexLockstepResetCountAlertCheck_A 533348296 0 0 0
FpvSecCmIbexPcMismatchCheck_A 533348296 0 0 0
FpvSecCmIbexRfEccErrCheck_A 533348296 0 0 0
FpvSecCmIbexStoreRespIntgErrCheck_A 533348296 0 0 0
FpvSecCmRegWeOnehotCheck_A 533348296 7 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A 533348296 0 0 0
FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A 533348296 0 0 0
FpvSecCmRvCoreRegWeOnehotCheck_A 533348296 0 0 0
g_instr_intg_err_assert_signals.AssertConnected_A 1017 1017 0 0
g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A 1017 1017 0 0
g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A 1017 1017 0 0
g_pc_mismatch_alert_o_assert_signals.AssertConnected_A 1017 1017 0 0
g_rf_ecc_err_comb_assert_signals.AssertConnected_A 1017 1017 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A 533348296 194 0 0
gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A 533348296 197 0 0


FpvSecCmIbexFetchEnable0_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 10 0 0
T78 188217 0 0 0
T81 110277 0 0 0
T132 223261 0 0 0
T139 206160 0 0 0
T171 370022 0 0 0
T240 273038 1 0 0
T241 0 1 0 0
T242 0 1 0 0
T261 0 1 0 0
T262 0 1 0 0
T263 0 1 0 0
T264 0 1 0 0
T265 0 1 0 0
T266 0 1 0 0
T267 0 1 0 0
T268 287256 0 0 0
T269 211438 0 0 0
T270 292568 0 0 0
T271 67067 0 0 0

FpvSecCmIbexFetchEnable1_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 25195178 0 106
T4 211772 70651 0 0
T5 69794 9923 0 0
T6 943665 9919 0 0
T17 157242 9923 0 0
T42 242020 40612 0 0
T43 145379 9931 0 0
T53 0 0 0 2
T54 0 0 0 2
T55 0 0 0 2
T63 0 0 0 2
T64 0 0 0 2
T70 152386 9923 0 0
T71 133404 19311 0 0
T79 0 0 0 2
T88 0 0 0 2
T95 124823 9927 0 0
T96 42230 9931 0 0
T163 0 0 0 2
T272 0 0 0 2
T273 0 0 0 2

FpvSecCmIbexFetchEnable2_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 66338366 0 96
T4 211772 69554 0 0
T5 69794 34775 0 0
T6 943665 34775 0 0
T17 157242 38303 0 0
T21 0 0 0 2
T42 242020 69555 0 0
T43 145379 34775 0 0
T53 0 0 0 2
T54 0 0 0 2
T55 0 0 0 2
T64 0 0 0 2
T70 152386 37808 0 0
T71 133404 72249 0 0
T79 0 0 0 2
T88 0 0 0 2
T95 124823 34775 0 0
T96 42230 34775 0 0
T272 0 0 0 2
T273 0 0 0 2
T274 0 0 0 2

FpvSecCmIbexFetchEnable3Rev_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 462067175 0 2024
T4 211772 91305 0 2
T5 69794 34961 0 2
T6 943665 908832 0 2
T17 157242 118883 0 2
T42 242020 151596 0 2
T43 145379 110543 0 2
T70 152386 114523 0 2
T71 133404 126168 0 2
T95 124823 89983 0 2
T96 42230 7390 0 2

FpvSecCmIbexFetchEnable3_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 462069076 0 1905
T4 211772 91307 0 2
T5 69794 34962 0 2
T6 943665 908833 0 2
T17 157242 118886 0 2
T42 242020 151598 0 2
T43 145379 110544 0 2
T70 152386 114525 0 2
T71 133404 126169 0 2
T95 124823 89984 0 2
T96 42230 7391 0 2

FpvSecCmIbexInstrIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 311 0 0
T154 199271 0 0 0
T174 85521 0 0 0
T275 298119 78 0 0
T276 0 77 0 0
T277 0 78 0 0
T278 0 78 0 0
T279 133916 0 0 0
T280 235816 0 0 0
T281 263764 0 0 0
T282 248096 0 0 0
T283 193772 0 0 0
T284 129119 0 0 0
T285 134983 0 0 0

FpvSecCmIbexLoadRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 594 0 0
T17 157242 0 0 0
T18 244257 0 0 0
T42 242020 0 0 0
T43 145379 100 0 0
T70 152386 0 0 0
T71 133404 0 0 0
T95 124823 0 0 0
T96 42230 0 0 0
T115 0 32 0 0
T177 98011 0 0 0
T178 0 32 0 0
T179 0 32 0 0
T215 295235 0 0 0
T239 0 100 0 0
T286 0 31 0 0
T287 0 32 0 0
T288 0 31 0 0
T289 0 31 0 0
T290 0 1 0 0

FpvSecCmIbexLockstepResetCountAlertCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmIbexPcMismatchCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmIbexRfEccErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmIbexStoreRespIntgErrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 7 0 0
T116 83122 0 0 0
T180 66429 0 0 0
T186 153579 0 0 0
T192 199268 0 0 0
T245 150858 1 0 0
T246 0 1 0 0
T247 0 1 0 0
T291 0 1 0 0
T292 0 1 0 0
T293 0 1 0 0
T294 0 1 0 0
T295 86570 0 0 0
T296 113086 0 0 0
T297 71922 0 0 0
T298 364251 0 0 0
T299 75256 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmRvCoreRegWeOnehotCheckRAddrB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

FpvSecCmRvCoreRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 0 0 0

g_instr_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_lsu_load_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_lsu_store_resp_intg_err_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_pc_mismatch_alert_o_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

g_rf_ecc_err_comb_assert_signals.AssertConnected_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1017 1017 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T17 1 1 0 0
T42 1 1 0 0
T43 1 1 0 0
T70 1 1 0 0
T71 1 1 0 0
T95 1 1 0 0
T96 1 1 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyForwardedToCore_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 194 0 0
T116 83122 0 0 0
T119 368899 0 0 0
T180 66429 13 0 0
T182 0 33 0 0
T183 0 33 0 0
T186 153579 0 0 0
T192 199268 0 0 0
T297 71922 0 0 0
T298 364251 0 0 0
T299 75256 0 0 0
T300 0 34 0 0
T301 0 49 0 0
T302 0 32 0 0
T303 107439 0 0 0
T304 132821 0 0 0

gen_icache_scramble_asserts.IbexIcacheScrambleKeyRequestAfterFenceI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 533348296 197 0 0
T18 244257 16 0 0
T19 753509 0 0 0
T61 205745 0 0 0
T74 288308 0 0 0
T177 98011 0 0 0
T180 0 3 0 0
T181 0 16 0 0
T182 0 42 0 0
T183 0 42 0 0
T193 209674 0 0 0
T215 295235 0 0 0
T255 280374 0 0 0
T300 0 42 0 0
T301 0 12 0 0
T302 0 8 0 0
T305 0 16 0 0
T306 139477 0 0 0
T307 148853 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%