SPI_DEVICE Simulation Results

Sunday May 21 2023 07:04:58 UTC

GitHub Revision: e3fb01b5e

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 3002339765

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_smoke 1.380s 254.679us 50 50 100.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.470s 191.727us 5 5 100.00
V1 csr_rw spi_device_csr_rw 2.790s 127.844us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 40.750s 11.923ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 19.340s 2.808ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 2.490s 500.676us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 2.790s 127.844us 20 20 100.00
spi_device_csr_aliasing 19.340s 2.808ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 13.230s 2.393ms 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 6.670s 4.705ms 5 5 100.00
V1 TOTAL 115 115 100.00
V2 base_random_seq spi_device_txrx 43.201m 86.609ms 50 50 100.00
V2 fifo_full spi_device_fifo_full 55.307m 60.192ms 50 50 100.00
V2 fifo_underflow_overflow spi_device_fifo_underflow_overflow 45.362m 1.428s 47 50 94.00
V2 dummy_sck_and_dummy_csb spi_device_dummy_item_extra_dly 46.245m 114.467ms 49 50 98.00
V2 extra_delay_on_spi spi_device_dummy_item_extra_dly 46.245m 114.467ms 49 50 98.00
V2 tx_async_fifo_reset spi_device_tx_async_fifo_reset 0.800s 113.316us 50 50 100.00
V2 rx_async_fifo_reset spi_device_rx_async_fifo_reset 0.960s 160.056us 50 50 100.00
V2 interrupts spi_device_intr 1.860m 26.877ms 49 50 98.00
V2 abort spi_device_abort 0.800s 14.227us 50 50 100.00
V2 byte_transfer_on_spi spi_device_byte_transfer 3.790s 329.426us 50 50 100.00
V2 rx_timeout spi_device_rx_timeout 7.140s 1.008ms 50 50 100.00
V2 bit_transfer_on_spi spi_device_bit_transfer 3.250s 1.211ms 50 50 100.00
V2 extreme_fifo_setting spi_device_extreme_fifo_size 1.235h 106.333ms 50 50 100.00
V2 perf spi_device_perf 33.043m 58.556ms 50 50 100.00
V2 csb_read spi_device_csb_read 0.830s 27.493us 50 50 100.00
V2 mem_parity spi_device_mem_parity 1.130s 34.203us 20 20 100.00
V2 mem_cfg spi_device_ram_cfg 0.780s 18.684us 20 20 100.00
V2 tpm_read spi_device_tpm_rw 15.090s 753.130us 50 50 100.00
V2 tpm_write spi_device_tpm_rw 15.090s 753.130us 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 42.980s 62.921ms 50 50 100.00
spi_device_tpm_sts_read 1.140s 240.148us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 3.070m 11.908ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 43.890s 66.296ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 39.350s 14.995ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 39.350s 14.995ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 cmd_info_slots spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 cmd_read_status spi_device_intercept 11.940s 3.351ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 cmd_read_jedec spi_device_intercept 11.940s 3.351ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 cmd_read_sfdp spi_device_intercept 11.940s 3.351ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 cmd_fast_read spi_device_intercept 11.940s 3.351ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 flash_cmd_upload spi_device_upload 36.690s 52.601ms 50 50 100.00
V2 mailbox_command spi_device_mailbox 51.670s 19.640ms 50 50 100.00
V2 mailbox_cross_outside_command spi_device_mailbox 51.670s 19.640ms 50 50 100.00
V2 mailbox_cross_inside_command spi_device_mailbox 51.670s 19.640ms 50 50 100.00
V2 cmd_read_buffer spi_device_flash_mode 46.090s 9.539ms 47 50 94.00
spi_device_read_buffer_direct 7.300s 3.327ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 51.670s 19.640ms 50 50 100.00
spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 quad_spi spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 dual_spi spi_device_flash_all 5.370m 68.961ms 50 50 100.00
V2 4b_3b_feature spi_device_cfg_cmd 15.430s 17.464ms 50 50 100.00
V2 write_enable_disable spi_device_cfg_cmd 15.430s 17.464ms 50 50 100.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 11.695m 203.497ms 49 50 98.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 11.706m 565.367ms 47 50 94.00
V2 stress_all spi_device_stress_all 1.322h 251.745ms 23 50 46.00
V2 alert_test spi_device_alert_test 0.790s 18.601us 50 50 100.00
V2 intr_test spi_device_intr_test 0.800s 49.206us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 5.640s 74.183us 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 5.640s 74.183us 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.470s 191.727us 5 5 100.00
spi_device_csr_rw 2.790s 127.844us 20 20 100.00
spi_device_csr_aliasing 19.340s 2.808ms 5 5 100.00
spi_device_same_csr_outstanding 4.440s 773.258us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.470s 191.727us 5 5 100.00
spi_device_csr_rw 2.790s 127.844us 20 20 100.00
spi_device_csr_aliasing 19.340s 2.808ms 5 5 100.00
spi_device_same_csr_outstanding 4.440s 773.258us 20 20 100.00
V2 TOTAL 1641 1680 97.68
V2S tl_intg_err spi_device_sec_cm 1.190s 165.807us 5 5 100.00
spi_device_tl_intg_err 22.390s 818.650us 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 22.390s 818.650us 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 1781 1820 97.86

Testplan Progress

Items Total Written Passing Progress
V1 8 8 8 100.00
V2 36 36 29 80.56
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
96.95 99.01 96.24 98.63 92.06 97.95 96.16 98.59

Failure Buckets

Past Results