SPI_DEVICE/1R1W Simulation Results

Sunday March 17 2024 19:02:52 UTC

GitHub Revision: c187a82ee8

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 28440605375541353837496064678278045899395893237469128852560697715229879921060

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_flash_and_tpm 15.617m 143.089ms 50 50 100.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.470s 125.041us 5 5 100.00
V1 csr_rw spi_device_csr_rw 2.970s 231.709us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 39.170s 2.798ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 24.480s 1.163ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 3.810s 183.416us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 2.970s 231.709us 20 20 100.00
spi_device_csr_aliasing 24.480s 1.163ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 0.690s 14.260us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 2.170s 51.617us 5 5 100.00
V1 TOTAL 115 115 100.00
V2 csb_read spi_device_csb_read 0.850s 22.947us 50 50 100.00
V2 mem_parity spi_device_mem_parity 0.740s 1.615us 0 20 0.00
V2 mem_cfg spi_device_ram_cfg 0.810s 17.876us 20 20 100.00
V2 tpm_read spi_device_tpm_rw 11.500s 6.437ms 50 50 100.00
V2 tpm_write spi_device_tpm_rw 11.500s 6.437ms 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 34.000s 40.156ms 50 50 100.00
spi_device_tpm_sts_read 1.180s 187.343us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 1.496m 35.129ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 42.010s 62.227ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 34.350s 25.531ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 34.350s 25.531ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 cmd_info_slots spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 cmd_read_status spi_device_intercept 16.520s 4.784ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 cmd_read_jedec spi_device_intercept 16.520s 4.784ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 cmd_read_sfdp spi_device_intercept 16.520s 4.784ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 cmd_fast_read spi_device_intercept 16.520s 4.784ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 cmd_read_pipeline spi_device_intercept 16.520s 4.784ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 flash_cmd_upload spi_device_upload 41.770s 51.453ms 50 50 100.00
V2 mailbox_command spi_device_mailbox 1.062m 19.711ms 50 50 100.00
V2 mailbox_cross_outside_command spi_device_mailbox 1.062m 19.711ms 50 50 100.00
V2 mailbox_cross_inside_command spi_device_mailbox 1.062m 19.711ms 50 50 100.00
V2 cmd_read_buffer spi_device_flash_mode 1.296m 60.285ms 48 50 96.00
spi_device_read_buffer_direct 6.470s 3.055ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 1.062m 19.711ms 50 50 100.00
spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 quad_spi spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 dual_spi spi_device_flash_all 6.293m 86.963ms 48 50 96.00
V2 4b_3b_feature spi_device_cfg_cmd 13.090s 14.557ms 50 50 100.00
V2 write_enable_disable spi_device_cfg_cmd 13.090s 14.557ms 50 50 100.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 15.617m 143.089ms 50 50 100.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 12.004m 411.866ms 47 50 94.00
V2 stress_all spi_device_stress_all 19.869m 164.264ms 47 50 94.00
V2 alert_test spi_device_alert_test 0.770s 54.691us 50 50 100.00
V2 intr_test spi_device_intr_test 0.810s 33.973us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 5.000s 65.380us 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 5.000s 65.380us 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.470s 125.041us 5 5 100.00
spi_device_csr_rw 2.970s 231.709us 20 20 100.00
spi_device_csr_aliasing 24.480s 1.163ms 5 5 100.00
spi_device_same_csr_outstanding 4.920s 898.414us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.470s 125.041us 5 5 100.00
spi_device_csr_rw 2.970s 231.709us 20 20 100.00
spi_device_csr_aliasing 24.480s 1.163ms 5 5 100.00
spi_device_same_csr_outstanding 4.920s 898.414us 20 20 100.00
V2 TOTAL 950 980 96.94
V2S tl_intg_err spi_device_sec_cm 1.380s 1.076ms 5 5 100.00
spi_device_tl_intg_err 27.060s 3.899ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 27.060s 3.899ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 1090 1120 97.32

Testplan Progress

Items Total Written Passing Progress
V1 8 8 8 100.00
V2 22 22 17 77.27
V2S 2 2 2 100.00
V3 1 0 0 0.00

Failure Buckets

Past Results