SPI_DEVICE/1R1W Simulation Results

Thursday April 18 2024 19:02:27 UTC

GitHub Revision: d3942ca074

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 25859338206198790995583629940734127463564215244480240139741775999763579929205

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_flash_and_tpm 1.019m 5.214ms 0 50 0.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.480s 180.284us 5 5 100.00
V1 csr_rw spi_device_csr_rw 2.770s 117.911us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 33.290s 8.688ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 24.180s 1.265ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 3.960s 135.320us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 2.770s 117.911us 20 20 100.00
spi_device_csr_aliasing 24.180s 1.265ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 0.710s 26.468us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 2.090s 227.536us 5 5 100.00
V1 TOTAL 65 115 56.52
V2 csb_read spi_device_csb_read 0.860s 20.822us 50 50 100.00
V2 mem_parity spi_device_mem_parity 0.740s 1.255us 0 20 0.00
V2 mem_cfg spi_device_ram_cfg 0.810s 17.074us 1 1 100.00
V2 tpm_read spi_device_tpm_rw 6.430s 121.375us 50 50 100.00
V2 tpm_write spi_device_tpm_rw 6.430s 121.375us 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 33.180s 54.603ms 50 50 100.00
spi_device_tpm_sts_read 1.160s 514.218us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 1.298m 13.826ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 37.350s 12.124ms 50 50 100.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 51.910s 39.136ms 50 50 100.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 51.910s 39.136ms 50 50 100.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 cmd_info_slots spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 cmd_read_status spi_device_intercept 46.080s 8.870ms 40 50 80.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 cmd_read_jedec spi_device_intercept 46.080s 8.870ms 40 50 80.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 cmd_read_sfdp spi_device_intercept 46.080s 8.870ms 40 50 80.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 cmd_fast_read spi_device_intercept 46.080s 8.870ms 40 50 80.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 cmd_read_pipeline spi_device_intercept 46.080s 8.870ms 40 50 80.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 flash_cmd_upload spi_device_upload 52.080s 33.651ms 33 50 66.00
V2 mailbox_command spi_device_mailbox 4.452m 114.308ms 47 50 94.00
V2 mailbox_cross_outside_command spi_device_mailbox 4.452m 114.308ms 47 50 94.00
V2 mailbox_cross_inside_command spi_device_mailbox 4.452m 114.308ms 47 50 94.00
V2 cmd_read_buffer spi_device_flash_mode 3.357m 64.372ms 42 50 84.00
spi_device_read_buffer_direct 24.400s 2.376ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 4.452m 114.308ms 47 50 94.00
spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 quad_spi spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 dual_spi spi_device_flash_all 11.630s 742.881us 0 50 0.00
V2 4b_3b_feature spi_device_cfg_cmd 44.150s 7.026ms 21 50 42.00
V2 write_enable_disable spi_device_cfg_cmd 44.150s 7.026ms 21 50 42.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 1.019m 5.214ms 0 50 0.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 41.360s 5.255ms 0 50 0.00
V2 stress_all spi_device_stress_all 1.865m 21.000ms 10 50 20.00
V2 alert_test spi_device_alert_test 0.790s 66.414us 50 50 100.00
V2 intr_test spi_device_intr_test 0.820s 30.987us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 5.350s 2.830ms 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 5.350s 2.830ms 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.480s 180.284us 5 5 100.00
spi_device_csr_rw 2.770s 117.911us 20 20 100.00
spi_device_csr_aliasing 24.180s 1.265ms 5 5 100.00
spi_device_same_csr_outstanding 4.520s 160.456us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.480s 180.284us 5 5 100.00
spi_device_csr_rw 2.770s 117.911us 20 20 100.00
spi_device_csr_aliasing 24.180s 1.265ms 5 5 100.00
spi_device_same_csr_outstanding 4.520s 160.456us 20 20 100.00
V2 TOTAL 734 961 76.38
V2S tl_intg_err spi_device_sec_cm 1.250s 392.560us 5 5 100.00
spi_device_tl_intg_err 22.880s 1.026ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 22.880s 1.026ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 824 1101 74.84

Testplan Progress

Items Total Written Passing Progress
V1 8 8 7 87.50
V2 22 22 13 59.09
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
92.10 97.49 92.80 98.61 80.85 95.83 90.96 88.18

Failure Buckets

Past Results