SPI_DEVICE/1R1W Simulation Results

Sunday April 28 2024 19:02:25 UTC

GitHub Revision: ae68723071

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 39039922970915743742128251849028328647614073777998354662703170901147801110391

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_flash_and_tpm 0 50 0.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.400s 125.762us 5 5 100.00
V1 csr_rw spi_device_csr_rw 2.800s 119.502us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 33.440s 538.097us 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 23.520s 9.054ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 3.690s 512.898us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 2.800s 119.502us 20 20 100.00
spi_device_csr_aliasing 23.520s 9.054ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 0.680s 14.504us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 1.970s 187.341us 5 5 100.00
V1 TOTAL 65 115 56.52
V2 csb_read spi_device_csb_read 0.820s 23.889us 50 50 100.00
V2 mem_parity spi_device_mem_parity 0.740s 4.616us 0 20 0.00
V2 mem_cfg spi_device_ram_cfg 0.760s 43.988us 1 1 100.00
V2 tpm_read spi_device_tpm_rw 12.100s 1.263ms 50 50 100.00
V2 tpm_write spi_device_tpm_rw 12.100s 1.263ms 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 42.550s 16.874ms 50 50 100.00
spi_device_tpm_sts_read 1.140s 560.269us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 1.126m 14.160ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 30.040s 46.436ms 50 50 100.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 38.950s 14.414ms 50 50 100.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 38.950s 14.414ms 50 50 100.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 cmd_info_slots spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 cmd_read_status spi_device_intercept 43.160s 9.446ms 45 50 90.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 cmd_read_jedec spi_device_intercept 43.160s 9.446ms 45 50 90.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 cmd_read_sfdp spi_device_intercept 43.160s 9.446ms 45 50 90.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 cmd_fast_read spi_device_intercept 43.160s 9.446ms 45 50 90.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 cmd_read_pipeline spi_device_intercept 43.160s 9.446ms 45 50 90.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 flash_cmd_upload spi_device_upload 34.690s 40.030ms 38 50 76.00
V2 mailbox_command spi_device_mailbox 3.344m 89.436ms 41 50 82.00
V2 mailbox_cross_outside_command spi_device_mailbox 3.344m 89.436ms 41 50 82.00
V2 mailbox_cross_inside_command spi_device_mailbox 3.344m 89.436ms 41 50 82.00
V2 cmd_read_buffer spi_device_flash_mode 2.949m 32.108ms 41 50 82.00
spi_device_read_buffer_direct 20.510s 3.868ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 3.344m 89.436ms 41 50 82.00
spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 quad_spi spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 dual_spi spi_device_flash_all 1.218m 20.228ms 0 50 0.00
V2 4b_3b_feature spi_device_cfg_cmd 27.410s 12.979ms 17 50 34.00
V2 write_enable_disable spi_device_cfg_cmd 27.410s 12.979ms 17 50 34.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 0 50 0.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 19.120s 5.314ms 0 50 0.00
V2 stress_all spi_device_stress_all 29.420s 12.167ms 11 50 22.00
V2 alert_test spi_device_alert_test 0.780s 14.285us 50 50 100.00
V2 intr_test spi_device_intr_test 0.800s 26.699us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 4.660s 1.029ms 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 4.660s 1.029ms 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.400s 125.762us 5 5 100.00
spi_device_csr_rw 2.800s 119.502us 20 20 100.00
spi_device_csr_aliasing 23.520s 9.054ms 5 5 100.00
spi_device_same_csr_outstanding 3.920s 186.368us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.400s 125.762us 5 5 100.00
spi_device_csr_rw 2.800s 119.502us 20 20 100.00
spi_device_csr_aliasing 23.520s 9.054ms 5 5 100.00
spi_device_same_csr_outstanding 3.920s 186.368us 20 20 100.00
V2 TOTAL 734 961 76.38
V2S tl_intg_err spi_device_sec_cm 1.110s 183.069us 5 5 100.00
spi_device_tl_intg_err 23.900s 1.060ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 23.900s 1.060ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 824 1101 74.84

Testplan Progress

Items Total Written Passing Progress
V1 8 8 7 87.50
V2 22 22 13 59.09
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
92.06 97.50 92.82 98.61 80.85 95.87 90.94 87.83

Failure Buckets

Past Results