Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.09 100.00 95.01 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 89.26 93.48 85.71 83.33 90.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 89.26 93.48 85.71 83.33 90.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 96.66 97.83 90.48 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
89.26 93.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
89.26 93.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
96.66 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT14,T15,T16
1CoveredT38,T39,T40

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT14,T15,T16
10CoveredT38,T39,T40
11CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT14,T15,T16

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT14,T15,T16

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT14,T15,T16

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT14,T15,T16
10CoveredT14,T15,T16
11CoveredT14,T15,T16

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT14,T15,T16
01CoveredT16,T72,T73
10CoveredT74,T75

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT14,T15,T16
01CoveredT14,T15,T16
10CoveredT76,T77,T74

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT14,T15,T16
1-CoveredT14,T15,T16

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
89.26 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
89.26 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
96.66 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222090.91
Logical222090.91
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT38,T39,T40

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT38,T39,T40
10CoveredT38,T39,T40
11CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT78,T15,T21

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT78,T15,T19

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT78,T15,T21

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT78,T15,T19
10CoveredT38,T39,T40
11CoveredT78,T15,T19

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT78,T15,T21
01CoveredT41,T48,T54
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT78,T15,T21
01CoveredT78,T15,T21
10Not Covered

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT78,T15,T21
1-CoveredT78,T15,T21

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT14,T17,T49
1CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT14,T17,T49

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT14,T17,T49

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT14,T17,T49

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT14,T17,T49
10CoveredT14,T17,T51
11CoveredT14,T17,T49

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT14,T17,T49
01CoveredT49,T51,T62
10CoveredT51,T62,T79

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT14,T17,T51
01CoveredT14,T17,T51
10CoveredT74,T80,T81

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT14,T17,T51
1-CoveredT14,T17,T51

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT41,T42,T43
10CoveredT38,T39,T40
11CoveredT41,T42,T43

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT41,T42,T43
01CoveredT45,T66,T67
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT41,T42,T43
01Unreachable
10CoveredT41,T42,T43

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222090.91
Logical222090.91
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT38,T39,T40

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT38,T39,T40
10CoveredT38,T39,T40
11CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT15,T19,T20

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT15,T19,T20

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT15,T19,T20

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT15,T19,T20
10CoveredT38,T39,T40
11CoveredT15,T19,T20

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT15,T19,T20
01CoveredT41,T44,T82
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT15,T19,T20
01CoveredT15,T19,T20
10Not Covered

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT15,T19,T20
1-CoveredT15,T19,T20

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT38,T39,T40

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT38,T39,T40
10CoveredT38,T39,T40
11CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT41,T42,T43
10CoveredT38,T39,T40
11CoveredT41,T42,T43

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT41,T42,T43
01CoveredT41,T83,T84
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT41,T42,T43
01Unreachable
10CoveredT41,T42,T43

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT38,T39,T40

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT38,T39,T40
10CoveredT38,T39,T40
11CoveredT38,T39,T40

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT38,T39,T40
1CoveredT41,T42,T43

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT41,T42,T43
10CoveredT38,T39,T40
11CoveredT41,T42,T43

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT41,T42,T43
01CoveredT85,T86,T87
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT41,T42,T43
01Unreachable
10CoveredT41,T42,T43

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T7
DetectSt 168 Covered T7
IdleSt 163 Covered T7
StableSt 191 Covered T7


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T7
DebounceSt->IdleSt 163 Covered T7
DetectSt->IdleSt 186 Covered T7
DetectSt->StableSt 191 Covered T7
IdleSt->DebounceSt 148 Covered T7
StableSt->IdleSt 206 Covered T7



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
89.26 90.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
89.26 90.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
96.66 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T78,T15,T21
0 1 Covered T78,T15,T19
0 0 Covered T38,T39,T40


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T78,T15,T21
0 Covered T38,T39,T40


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T38,T39,T40
0 Covered T38,T39,T40


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T78,T15,T19
IdleSt 0 - - - - - - Covered T38,T39,T40
DebounceSt - 1 - - - - - Covered T74,T75
DebounceSt - 0 1 1 - - - Covered T78,T15,T21
DebounceSt - 0 1 0 - - - Covered T21,T41,T42
DebounceSt - 0 0 - - - - Covered T78,T15,T19
DetectSt - - - - 1 - - Covered T41,T48,T54
DetectSt - - - - 0 1 - Covered T78,T15,T21
DetectSt - - - - 0 0 - Covered T14,T15,T16
StableSt - - - - - - 1 Covered T78,T15,T21
StableSt - - - - - - 0 Covered T78,T15,T21
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T38,T39,T40
0 Covered T38,T39,T40


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T38,T39,T40
0 Covered T38,T39,T40


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T14,T17,T49
0 1 Covered T14,T17,T49
0 0 Covered T38,T39,T40


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T14,T17,T49
0 Covered T38,T39,T40


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T38,T39,T40
0 Covered T38,T39,T40


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T14,T17,T49
IdleSt 0 - - - - - - Covered T38,T39,T40
DebounceSt - 1 - - - - - Covered T74,T75
DebounceSt - 0 1 1 - - - Covered T14,T17,T49
DebounceSt - 0 1 0 - - - Covered T55,T83,T65
DebounceSt - 0 0 - - - - Covered T14,T17,T49
DetectSt - - - - 1 - - Covered T49,T51,T62
DetectSt - - - - 0 1 - Covered T14,T17,T51
DetectSt - - - - 0 0 - Covered T14,T17,T49
StableSt - - - - - - 1 Covered T14,T17,T51
StableSt - - - - - - 0 Covered T14,T17,T51
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T38,T39,T40
0 Covered T38,T39,T40


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 189543770 18491 0 0
CntIncr_A 189543770 1680133 0 0
CntNoWrap_A 189543770 172518263 0 0
DetectStDropOut_A 189543770 1992 0 0
DetectedOut_A 189543770 1539428 0 0
DetectedPulseOut_A 189543770 6024 0 0
DisabledIdleSt_A 189543770 162584263 0 0
DisabledNoDetection_A 189543770 162642021 0 0
EnterDebounceSt_A 189543770 9562 0 0
EnterDetectSt_A 189543770 8944 0 0
EnterStableSt_A 189543770 6024 0 0
PulseIsPulse_A 189543770 6024 0 0
StayInStableSt 189543770 1532572 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 65611305 51605 0 0
gen_high_event_sva.HighLevelEvent_A 36450725 33192135 0 0
gen_high_level_sva.HighLevelEvent_A 123932465 112853259 0 0
gen_low_level_sva.LowLevelEvent_A 65611305 59745843 0 0
gen_not_sticky_sva.StableStDropOut_A 167673335 4991 0 0
gen_sticky_sva.StableStDropOut_A 21870435 1740767 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 18491 0 0
T14 210272 58 0 0
T15 204471 5 0 0
T16 241326 2 0 0
T17 0 22 0 0
T18 0 4 0 0
T21 0 2 0 0
T22 0 16 0 0
T23 0 26 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 9 0 0
T42 0 5 0 0
T44 0 7 0 0
T48 32768 0 0 0
T49 0 56 0 0
T50 0 70 0 0
T52 504 0 0 0
T53 0 2 0 0
T54 0 10 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T78 681 4 0 0
T88 0 2 0 0
T89 0 2 0 0
T90 0 6 0 0
T91 0 2 0 0
T92 0 4 0 0
T93 526 0 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 1680133 0 0
T14 210272 2100 0 0
T15 204471 2457 0 0
T16 241326 161 0 0
T17 0 671 0 0
T18 0 170 0 0
T21 0 25 0 0
T22 0 932 0 0
T23 0 1415 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 209 0 0
T42 0 139 0 0
T44 0 252 0 0
T48 32768 0 0 0
T49 0 1509 0 0
T50 0 2735 0 0
T51 0 2393 0 0
T52 504 0 0 0
T53 0 74 0 0
T54 0 13986 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T78 681 143 0 0
T88 0 28 0 0
T89 0 148 0 0
T90 0 105 0 0
T91 0 65 0 0
T93 526 0 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 172518263 0 0
T14 683384 671322 0 0
T15 590694 325676 0 0
T27 10556 130 0 0
T28 18538 8112 0 0
T38 10998 572 0 0
T39 10972 546 0 0
T40 13052 2626 0 0
T78 17706 7276 0 0
T93 13676 3250 0 0
T94 13052 2626 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 1992 0 0
T16 26814 1 0 0
T30 548 0 0 0
T31 496 0 0 0
T32 869 0 0 0
T33 426 0 0 0
T49 5332 28 0 0
T51 0 8 0 0
T54 71313 1 0 0
T55 3168 0 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T62 0 10 0 0
T73 14163 2 0 0
T92 2246 0 0 0
T95 0 4 0 0
T96 0 5 0 0
T97 0 3 0 0
T98 0 4 0 0
T99 0 18 0 0
T100 0 5 0 0
T101 0 2 0 0
T102 0 8 0 0
T103 0 7 0 0
T104 0 4 0 0
T105 0 8 0 0
T106 0 3 0 0
T107 0 1 0 0
T108 0 1 0 0
T109 0 1 0 0
T110 523 0 0 0
T111 21167 0 0 0
T112 1807 0 0 0
T113 403 0 0 0
T114 526 0 0 0
T115 522 0 0 0
T116 501 0 0 0
T117 505 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 1539428 0 0
T14 210272 3092 0 0
T15 204471 9 0 0
T16 241326 0 0 0
T17 0 1011 0 0
T18 0 158 0 0
T21 0 3 0 0
T22 0 62 0 0
T23 0 803 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 85 0 0
T42 0 6 0 0
T44 0 16 0 0
T48 32768 0 0 0
T50 0 3985 0 0
T52 504 0 0 0
T53 0 6 0 0
T54 0 19 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T68 0 938 0 0
T78 681 12 0 0
T79 0 2473 0 0
T88 0 7 0 0
T89 0 60 0 0
T90 0 24 0 0
T91 0 10 0 0
T92 0 22 0 0
T93 526 0 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 6024 0 0
T14 210272 29 0 0
T15 204471 2 0 0
T16 241326 0 0 0
T17 0 11 0 0
T18 0 2 0 0
T21 0 1 0 0
T22 0 6 0 0
T23 0 11 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 4 0 0
T42 0 2 0 0
T44 0 3 0 0
T48 32768 0 0 0
T50 0 35 0 0
T52 504 0 0 0
T53 0 1 0 0
T54 0 4 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T68 0 10 0 0
T78 681 2 0 0
T79 0 6 0 0
T88 0 1 0 0
T89 0 1 0 0
T90 0 3 0 0
T91 0 1 0 0
T92 0 2 0 0
T93 526 0 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 162584263 0 0
T14 683384 639628 0 0
T15 590694 314542 0 0
T27 10556 130 0 0
T28 18538 8112 0 0
T38 10998 572 0 0
T39 10972 546 0 0
T40 13052 2626 0 0
T78 17706 7055 0 0
T93 13676 3250 0 0
T94 13052 2626 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 162642021 0 0
T14 683384 639824 0 0
T15 590694 315371 0 0
T27 10556 156 0 0
T28 18538 8138 0 0
T38 10998 598 0 0
T39 10972 572 0 0
T40 13052 2652 0 0
T78 17706 7081 0 0
T93 13676 3276 0 0
T94 13052 2652 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 9562 0 0
T14 210272 29 0 0
T15 204471 4 0 0
T16 241326 1 0 0
T17 0 11 0 0
T18 0 2 0 0
T21 0 1 0 0
T22 0 10 0 0
T23 0 15 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 5 0 0
T42 0 3 0 0
T44 0 4 0 0
T48 32768 0 0 0
T49 0 28 0 0
T50 0 35 0 0
T51 0 30 0 0
T52 504 0 0 0
T53 0 1 0 0
T54 0 6 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T78 681 2 0 0
T88 0 1 0 0
T89 0 1 0 0
T90 0 3 0 0
T91 0 1 0 0
T93 526 0 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 8944 0 0
T14 210272 29 0 0
T15 204471 2 0 0
T16 241326 1 0 0
T17 0 11 0 0
T18 0 2 0 0
T21 0 1 0 0
T22 0 6 0 0
T23 0 11 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 4 0 0
T42 0 2 0 0
T44 0 3 0 0
T48 32768 0 0 0
T49 0 28 0 0
T50 0 35 0 0
T52 504 0 0 0
T53 0 1 0 0
T54 0 5 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T78 681 2 0 0
T88 0 1 0 0
T89 0 1 0 0
T90 0 3 0 0
T91 0 1 0 0
T92 0 2 0 0
T93 526 0 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 6024 0 0
T14 210272 29 0 0
T15 204471 2 0 0
T16 241326 0 0 0
T17 0 11 0 0
T18 0 2 0 0
T21 0 1 0 0
T22 0 6 0 0
T23 0 11 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 4 0 0
T42 0 2 0 0
T44 0 3 0 0
T48 32768 0 0 0
T50 0 35 0 0
T52 504 0 0 0
T53 0 1 0 0
T54 0 4 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T68 0 10 0 0
T78 681 2 0 0
T79 0 6 0 0
T88 0 1 0 0
T89 0 1 0 0
T90 0 3 0 0
T91 0 1 0 0
T92 0 2 0 0
T93 526 0 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 6024 0 0
T14 210272 29 0 0
T15 204471 2 0 0
T16 241326 0 0 0
T17 0 11 0 0
T18 0 2 0 0
T21 0 1 0 0
T22 0 6 0 0
T23 0 11 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 4 0 0
T42 0 2 0 0
T44 0 3 0 0
T48 32768 0 0 0
T50 0 35 0 0
T52 504 0 0 0
T53 0 1 0 0
T54 0 4 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T68 0 10 0 0
T78 681 2 0 0
T79 0 6 0 0
T88 0 1 0 0
T89 0 1 0 0
T90 0 3 0 0
T91 0 1 0 0
T92 0 2 0 0
T93 526 0 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 189543770 1532572 0 0
T14 210272 3056 0 0
T15 204471 7 0 0
T16 241326 0 0 0
T17 0 1000 0 0
T18 0 156 0 0
T21 0 2 0 0
T22 0 56 0 0
T23 0 792 0 0
T27 3248 0 0 0
T28 5704 0 0 0
T29 4446 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 81 0 0
T42 0 4 0 0
T44 0 13 0 0
T48 32768 0 0 0
T50 0 3949 0 0
T52 504 0 0 0
T53 0 5 0 0
T54 0 15 0 0
T56 522 0 0 0
T57 436 0 0 0
T58 426 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T62 12008 0 0 0
T68 0 923 0 0
T78 681 10 0 0
T79 0 2467 0 0
T88 0 6 0 0
T89 0 59 0 0
T90 0 21 0 0
T91 0 9 0 0
T92 0 20 0 0
T93 526 0 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 65611305 51605 0 0
T14 236556 192 0 0
T15 204471 658 0 0
T16 0 43 0 0
T27 3654 0 0 0
T28 6417 9 0 0
T29 0 59 0 0
T30 0 27 0 0
T31 0 9 0 0
T32 0 4 0 0
T33 0 4 0 0
T38 3807 26 0 0
T39 3798 19 0 0
T40 4518 54 0 0
T78 6129 9 0 0
T93 4734 38 0 0
T94 4518 44 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 36450725 33192135 0 0
T14 131420 129175 0 0
T15 113595 62805 0 0
T27 2030 30 0 0
T28 3565 1565 0 0
T38 2115 115 0 0
T39 2110 110 0 0
T40 2510 510 0 0
T78 3405 1405 0 0
T93 2630 630 0 0
T94 2510 510 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 123932465 112853259 0 0
T14 446828 439195 0 0
T15 386223 213537 0 0
T27 6902 102 0 0
T28 12121 5321 0 0
T38 7191 391 0 0
T39 7174 374 0 0
T40 8534 1734 0 0
T78 11577 4777 0 0
T93 8942 2142 0 0
T94 8534 1734 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 65611305 59745843 0 0
T14 236556 232515 0 0
T15 204471 113049 0 0
T27 3654 54 0 0
T28 6417 2817 0 0
T38 3807 207 0 0
T39 3798 198 0 0
T40 4518 918 0 0
T78 6129 2529 0 0
T93 4734 1134 0 0
T94 4518 918 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167673335 4991 0 0
T14 183988 22 0 0
T15 181752 2 0 0
T16 241326 0 0 0
T17 18428 11 0 0
T18 0 2 0 0
T21 0 1 0 0
T22 0 6 0 0
T23 0 11 0 0
T27 2842 0 0 0
T28 4991 0 0 0
T29 3952 0 0 0
T30 4932 0 0 0
T31 4464 0 0 0
T32 6952 0 0 0
T33 3408 0 0 0
T41 0 4 0 0
T42 0 2 0 0
T44 0 3 0 0
T48 32768 0 0 0
T50 0 34 0 0
T53 0 1 0 0
T54 0 4 0 0
T56 1044 0 0 0
T57 872 0 0 0
T58 852 0 0 0
T59 1424 0 0 0
T60 497 0 0 0
T61 445 0 0 0
T68 0 5 0 0
T78 681 2 0 0
T79 0 6 0 0
T88 0 1 0 0
T89 0 1 0 0
T90 0 3 0 0
T91 0 1 0 0
T92 0 2 0 0
T93 526 0 0 0
T110 523 0 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 21870435 1740767 0 0
T41 222756 58295 0 0
T42 38097 395 0 0
T43 0 405 0 0
T45 0 89 0 0
T48 98304 0 0 0
T52 1512 0 0 0
T53 0 734 0 0
T55 0 789 0 0
T59 4272 0 0 0
T60 1491 0 0 0
T61 1335 0 0 0
T62 36024 0 0 0
T63 1356 0 0 0
T64 0 754 0 0
T65 0 313 0 0
T66 0 192 0 0
T67 0 417013 0 0
T68 70347 0 0 0
T85 0 187 0 0
T103 0 8736 0 0
T118 0 320 0 0
T119 0 181 0 0
T120 0 35475 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%