Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.35 100.00 96.30 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 97.61 97.83 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
97.61 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT2,T13,T6
1CoveredT1,T2,T4

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT2,T13,T6
10CoveredT1,T2,T4
11CoveredT1,T2,T4

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT2,T13,T6

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT2,T13,T6

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT2,T13,T6

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT2,T13,T6
10CoveredT1,T2,T12
11CoveredT2,T13,T6

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T13,T6
01CoveredT42,T28,T66
10CoveredT42,T43

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT2,T13,T6
01CoveredT2,T13,T6
10CoveredT21,T42,T43

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT2,T13,T6
1-CoveredT2,T13,T6

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T4

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T2,T4
11CoveredT1,T2,T4

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T4,T20

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T4,T5

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T4,T20

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T2,T4
11CoveredT1,T4,T5

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T4,T20
01CoveredT32,T67,T68
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T4,T20
01CoveredT1,T4,T20
10CoveredT43

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T4,T20
1-CoveredT1,T4,T20

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT2,T13,T21
1CoveredT1,T2,T4

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT2,T13,T21

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT2,T13,T21

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT2,T13,T21

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT2,T13,T21
10CoveredT2,T13,T21
11CoveredT2,T13,T21

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T13,T21
01CoveredT2,T13,T25
10CoveredT2,T13,T25

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT2,T13,T21
01CoveredT2,T13,T21
10CoveredT41,T42,T62

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT2,T13,T21
1-CoveredT2,T13,T21

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T11
10CoveredT1,T2,T3
11CoveredT1,T3,T11

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T3,T11
01CoveredT44,T48,T69
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T11
01Unreachable
10CoveredT1,T3,T11

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
97.61 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T4

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T2,T4
11CoveredT1,T2,T4

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T5,T7

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T5,T7

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T5,T7

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T5,T7
10CoveredT1,T2,T4
11CoveredT1,T5,T7

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T5,T29
01CoveredT7,T61,T70
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T5,T29
01CoveredT1,T29,T28
10CoveredT43

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T5,T29
1-CoveredT1,T29,T28

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T12

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T3,T12
11CoveredT1,T3,T12

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T11
10CoveredT1,T3,T12
11CoveredT1,T3,T11

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T3,T11
01CoveredT71,T72,T73
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T11
01Unreachable
10CoveredT1,T3,T11

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T4

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T2,T4
11CoveredT1,T2,T4

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T3,T11

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT3,T11,T44

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T11
10CoveredT1,T2,T4
11CoveredT1,T3,T11

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT3,T11,T44
01CoveredT3,T74,T75
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT3,T11,T44
01Unreachable
10CoveredT3,T11,T44

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T1,T4,T5
DetectSt 168 Covered T1,T4,T20
IdleSt 163 Covered T1,T2,T4
StableSt 191 Covered T1,T4,T20


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T1,T4,T20
DebounceSt->IdleSt 163 Covered T1,T4,T42
DetectSt->IdleSt 186 Covered T3,T44,T32
DetectSt->StableSt 191 Covered T1,T4,T20
IdleSt->DebounceSt 148 Covered T1,T4,T5
StableSt->IdleSt 206 Covered T1,T4,T20



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
97.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T4,T20
0 1 Covered T1,T4,T5
0 0 Covered T1,T2,T4


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T20
0 Covered T1,T2,T4


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T4


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T1,T4,T5
IdleSt 0 - - - - - - Covered T1,T2,T4
DebounceSt - 1 - - - - - Covered T42,T43
DebounceSt - 0 1 1 - - - Covered T1,T4,T20
DebounceSt - 0 1 0 - - - Covered T4,T28,T76
DebounceSt - 0 0 - - - - Covered T1,T4,T5
DetectSt - - - - 1 - - Covered T3,T7,T32
DetectSt - - - - 0 1 - Covered T1,T4,T20
DetectSt - - - - 0 0 - Covered T2,T13,T6
StableSt - - - - - - 1 Covered T1,T4,T20
StableSt - - - - - - 0 Covered T1,T4,T20
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T4


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T4


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T4


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T4


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T1,T2,T3
IdleSt 0 - - - - - - Covered T1,T2,T3
DebounceSt - 1 - - - - - Covered T42,T43
DebounceSt - 0 1 1 - - - Covered T1,T2,T3
DebounceSt - 0 1 0 - - - Covered T48,T42,T49
DebounceSt - 0 0 - - - - Covered T1,T2,T3
DetectSt - - - - 1 - - Covered T2,T25,T44
DetectSt - - - - 0 1 - Covered T1,T2,T3
DetectSt - - - - 0 0 - Covered T2,T13,T21
StableSt - - - - - - 1 Covered T1,T2,T3
StableSt - - - - - - 0 Covered T1,T2,T3
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T4


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 168050324 17435 0 0
CntIncr_A 168050324 3594925 0 0
CntNoWrap_A 168050324 150729525 0 0
DetectStDropOut_A 168050324 2272 0 0
DetectedOut_A 168050324 2319916 0 0
DetectedPulseOut_A 168050324 5249 0 0
DisabledIdleSt_A 168050324 135413186 0 0
DisabledNoDetection_A 168050324 135470097 0 0
EnterDebounceSt_A 168050324 9070 0 0
EnterDetectSt_A 168050324 8390 0 0
EnterStableSt_A 168050324 5249 0 0
PulseIsPulse_A 168050324 5249 0 0
StayInStableSt 168050324 2313785 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 58171266 52098 0 0
gen_high_event_sva.HighLevelEvent_A 32317370 29001530 0 0
gen_high_level_sva.HighLevelEvent_A 109879058 98605202 0 0
gen_low_level_sva.LowLevelEvent_A 58171266 52202754 0 0
gen_not_sticky_sva.StableStDropOut_A 148659902 4148 0 0
gen_sticky_sva.StableStDropOut_A 19390422 1158465 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 17435 0 0
T1 13100 4 0 0
T2 279192 54 0 0
T3 17384 0 0 0
T4 5912 3 0 0
T5 43048 0 0 0
T6 84312 8 0 0
T7 699 0 0 0
T8 19937 11 0 0
T9 0 2 0 0
T10 0 6 0 0
T12 12408 0 0 0
T13 55024 24 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 2 0 0
T21 19067 32 0 0
T26 23121 4 0 0
T27 0 24 0 0
T35 0 4 0 0
T36 0 4 0 0
T38 0 5 0 0
T39 0 4 0 0
T41 0 66 0 0
T42 6802 24 0 0
T46 785 0 0 0
T49 980 0 0 0
T50 491 0 0 0
T62 7746 0 0 0
T77 0 2 0 0
T78 0 4 0 0
T79 0 2 0 0
T80 447 0 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 3594925 0 0
T1 13100 390 0 0
T2 279192 3722 0 0
T3 17384 0 0 0
T4 5912 103 0 0
T5 43048 0 0 0
T6 84312 716 0 0
T7 699 0 0 0
T8 19937 660 0 0
T9 0 25 0 0
T10 0 420 0 0
T12 12408 0 0 0
T13 55024 612 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 34 0 0
T21 19067 1002 0 0
T26 23121 186 0 0
T27 0 1109 0 0
T28 0 27488 0 0
T35 0 150 0 0
T36 0 140 0 0
T38 0 391 0 0
T39 0 183 0 0
T41 0 1998 0 0
T42 6802 581 0 0
T46 785 0 0 0
T49 980 0 0 0
T50 491 0 0 0
T62 7746 0 0 0
T77 0 36 0 0
T78 0 71 0 0
T80 447 0 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 150729525 0 0
T1 340600 126029 0 0
T2 907374 894589 0 0
T3 56498 46047 0 0
T4 19214 8785 0 0
T5 139906 28566 0 0
T6 243568 232944 0 0
T12 40326 9074 0 0
T13 178828 168290 0 0
T14 12870 2444 0 0
T15 12948 2522 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 2272 0 0
T2 34899 2 0 0
T28 369743 4 0 0
T30 15535 0 0 0
T42 0 1 0 0
T63 0 19 0 0
T64 0 14 0 0
T69 0 3 0 0
T77 9320 0 0 0
T81 0 1 0 0
T82 0 8 0 0
T83 0 13 0 0
T84 0 3 0 0
T85 0 1 0 0
T86 0 6 0 0
T87 0 21 0 0
T88 0 3 0 0
T89 0 2 0 0
T90 0 5 0 0
T91 0 1 0 0
T92 3108 1 0 0
T93 0 1 0 0
T94 0 2 0 0
T95 0 1 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0
T99 451 0 0 0
T100 414 0 0 0
T101 523 0 0 0
T102 5556 0 0 0
T103 422 0 0 0
T104 422 0 0 0
T105 21238 0 0 0
T106 15421 0 0 0
T107 1072 0 0 0
T108 494 0 0 0
T109 579 0 0 0
T110 13276 0 0 0
T111 667 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 2319916 0 0
T1 13100 17 0 0
T2 244293 0 0 0
T3 15211 0 0 0
T4 5173 10 0 0
T5 43048 0 0 0
T6 84312 24 0 0
T7 1398 0 0 0
T8 19937 75 0 0
T9 0 4 0 0
T10 0 101 0 0
T12 10857 0 0 0
T13 55024 502 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 2 0 0
T21 19067 1009 0 0
T26 0 141 0 0
T27 0 948 0 0
T30 15535 0 0 0
T35 0 2 0 0
T36 0 15 0 0
T38 0 36 0 0
T39 0 8 0 0
T41 0 1707 0 0
T42 0 401 0 0
T46 1570 0 0 0
T50 982 0 0 0
T66 0 24 0 0
T77 0 5 0 0
T78 0 9 0 0
T79 0 6 0 0
T80 894 0 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 5249 0 0
T1 13100 2 0 0
T2 244293 0 0 0
T3 15211 0 0 0
T4 5173 1 0 0
T5 43048 0 0 0
T6 84312 4 0 0
T7 1398 0 0 0
T8 19937 4 0 0
T9 0 1 0 0
T10 0 3 0 0
T12 10857 0 0 0
T13 55024 12 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 16 0 0
T26 0 2 0 0
T27 0 11 0 0
T30 15535 0 0 0
T35 0 2 0 0
T36 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T41 0 33 0 0
T42 0 6 0 0
T46 1570 0 0 0
T50 982 0 0 0
T66 0 3 0 0
T77 0 1 0 0
T78 0 2 0 0
T79 0 1 0 0
T80 894 0 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 135413186 0 0
T1 340600 117598 0 0
T2 907374 854288 0 0
T3 56498 42724 0 0
T4 19214 8591 0 0
T5 139906 25767 0 0
T6 243568 213236 0 0
T12 40326 9074 0 0
T13 178828 152085 0 0
T14 12870 2444 0 0
T15 12948 2522 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 135470097 0 0
T1 340600 118257 0 0
T2 907374 854566 0 0
T3 56498 42750 0 0
T4 19214 8617 0 0
T5 139906 25994 0 0
T6 243568 213280 0 0
T12 40326 9126 0 0
T13 178828 152107 0 0
T14 12870 2470 0 0
T15 12948 2548 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 9070 0 0
T1 13100 3 0 0
T2 279192 27 0 0
T3 17384 0 0 0
T4 5912 2 0 0
T5 43048 0 0 0
T6 84312 4 0 0
T7 699 0 0 0
T8 19937 7 0 0
T9 0 1 0 0
T10 0 3 0 0
T12 12408 0 0 0
T13 55024 12 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 16 0 0
T26 23121 2 0 0
T27 0 13 0 0
T28 0 1 0 0
T35 0 2 0 0
T36 0 2 0 0
T38 0 3 0 0
T39 0 2 0 0
T41 0 33 0 0
T42 6802 15 0 0
T46 785 0 0 0
T49 980 0 0 0
T50 491 0 0 0
T62 7746 0 0 0
T77 0 1 0 0
T78 0 2 0 0
T80 447 0 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 8390 0 0
T1 13100 2 0 0
T2 279192 27 0 0
T3 17384 0 0 0
T4 5912 1 0 0
T5 43048 0 0 0
T6 84312 4 0 0
T7 699 0 0 0
T8 19937 4 0 0
T9 0 1 0 0
T10 0 3 0 0
T12 12408 0 0 0
T13 55024 0 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 16 0 0
T26 0 2 0 0
T27 0 11 0 0
T30 15535 0 0 0
T35 0 2 0 0
T36 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T41 0 33 0 0
T42 0 9 0 0
T46 785 0 0 0
T50 491 0 0 0
T66 0 3 0 0
T77 0 1 0 0
T78 0 2 0 0
T79 0 1 0 0
T80 447 0 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 5249 0 0
T1 13100 2 0 0
T2 244293 0 0 0
T3 15211 0 0 0
T4 5173 1 0 0
T5 43048 0 0 0
T6 84312 4 0 0
T7 1398 0 0 0
T8 19937 4 0 0
T9 0 1 0 0
T10 0 3 0 0
T12 10857 0 0 0
T13 55024 12 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 16 0 0
T26 0 2 0 0
T27 0 11 0 0
T30 15535 0 0 0
T35 0 2 0 0
T36 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T41 0 33 0 0
T42 0 6 0 0
T46 1570 0 0 0
T50 982 0 0 0
T66 0 3 0 0
T77 0 1 0 0
T78 0 2 0 0
T79 0 1 0 0
T80 894 0 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 5249 0 0
T1 13100 2 0 0
T2 244293 0 0 0
T3 15211 0 0 0
T4 5173 1 0 0
T5 43048 0 0 0
T6 84312 4 0 0
T7 1398 0 0 0
T8 19937 4 0 0
T9 0 1 0 0
T10 0 3 0 0
T12 10857 0 0 0
T13 55024 12 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 16 0 0
T26 0 2 0 0
T27 0 11 0 0
T30 15535 0 0 0
T35 0 2 0 0
T36 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T41 0 33 0 0
T42 0 6 0 0
T46 1570 0 0 0
T50 982 0 0 0
T66 0 3 0 0
T77 0 1 0 0
T78 0 2 0 0
T79 0 1 0 0
T80 894 0 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 168050324 2313785 0 0
T1 13100 15 0 0
T2 244293 0 0 0
T3 15211 0 0 0
T4 5173 9 0 0
T5 43048 0 0 0
T6 84312 20 0 0
T7 1398 0 0 0
T8 19937 71 0 0
T9 0 3 0 0
T10 0 98 0 0
T12 10857 0 0 0
T13 55024 490 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 991 0 0
T26 0 139 0 0
T27 0 937 0 0
T30 15535 0 0 0
T32 0 17 0 0
T36 0 13 0 0
T38 0 34 0 0
T39 0 6 0 0
T41 0 1658 0 0
T42 0 395 0 0
T46 1570 0 0 0
T50 982 0 0 0
T66 0 21 0 0
T77 0 4 0 0
T78 0 7 0 0
T79 0 5 0 0
T80 894 0 0 0
T96 492 0 0 0
T97 493 0 0 0
T98 636 0 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 58171266 52098 0 0
T1 117900 384 0 0
T2 314091 214 0 0
T3 19557 56 0 0
T4 6651 9 0 0
T5 48429 174 0 0
T6 84312 85 0 0
T7 0 6 0 0
T12 13959 11 0 0
T13 61902 189 0 0
T14 4455 56 0 0
T15 4482 66 0 0
T19 0 24 0 0
T20 0 9 0 0
T46 0 2 0 0
T50 0 10 0 0
T54 0 6 0 0
T80 0 26 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 32317370 29001530 0 0
T1 65500 24375 0 0
T2 174495 172140 0 0
T3 10865 8865 0 0
T4 3695 1695 0 0
T5 26905 5540 0 0
T6 46840 44810 0 0
T12 7755 1755 0 0
T13 34390 32390 0 0
T14 2475 475 0 0
T15 2490 490 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109879058 98605202 0 0
T1 222700 82875 0 0
T2 593283 585276 0 0
T3 36941 30141 0 0
T4 12563 5763 0 0
T5 91477 18836 0 0
T6 159256 152354 0 0
T12 26367 5967 0 0
T13 116926 110126 0 0
T14 8415 1615 0 0
T15 8466 1666 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 58171266 52202754 0 0
T1 117900 43875 0 0
T2 314091 309852 0 0
T3 19557 15957 0 0
T4 6651 3051 0 0
T5 48429 9972 0 0
T6 84312 80658 0 0
T12 13959 3159 0 0
T13 61902 58302 0 0
T14 4455 855 0 0
T15 4482 882 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148659902 4148 0 0
T1 13100 2 0 0
T2 209394 0 0 0
T3 13038 0 0 0
T4 4434 1 0 0
T5 43048 0 0 0
T6 84312 4 0 0
T7 2097 0 0 0
T8 19937 4 0 0
T9 0 1 0 0
T10 0 3 0 0
T12 9306 0 0 0
T13 55024 12 0 0
T14 4455 0 0 0
T15 4482 0 0 0
T19 4192 0 0 0
T20 0 1 0 0
T21 19067 13 0 0
T26 0 2 0 0
T27 0 11 0 0
T28 0 4 0 0
T30 0 2 0 0
T31 9232 0 0 0
T33 587 0 0 0
T35 0 2 0 0
T36 0 2 0 0
T38 0 2 0 0
T39 0 2 0 0
T46 2355 0 0 0
T50 1473 0 0 0
T66 0 3 0 0
T77 0 1 0 0
T78 0 2 0 0
T79 0 1 0 0
T80 1341 0 0 0
T112 497 0 0 0
T113 501 0 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 19390422 1158465 0 0
T1 26200 269 0 0
T2 69798 0 0 0
T3 6519 971 0 0
T4 1478 0 0 0
T5 16143 0 0 0
T6 28104 0 0 0
T7 699 0 0 0
T11 0 515 0 0
T12 4653 0 0 0
T13 20634 0 0 0
T14 1485 0 0 0
T15 1494 0 0 0
T19 524 0 0 0
T28 0 244 0 0
T30 0 288 0 0
T44 0 129 0 0
T45 0 1053 0 0
T48 0 291 0 0
T49 0 614 0 0
T65 0 255 0 0
T69 0 155 0 0
T80 447 0 0 0
T114 0 291 0 0
T115 0 121870 0 0
T116 0 258 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%