Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.22 100.00 95.66 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 89.26 93.48 85.71 83.33 90.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 90.61 95.65 85.71 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 90.69 95.65 85.71 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 97.99 100.00 94.74 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
90.69 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
89.26 93.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
90.61 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
97.99 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T15
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T15
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T5,T15
10CoveredT1,T5,T15
11CoveredT1,T5,T15

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T5,T15
01CoveredT8,T23,T38
10CoveredT21,T63

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T5,T15
01CoveredT1,T5,T3
10CoveredT21,T64,T63

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T5,T15
1-CoveredT1,T5,T3

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
90.69 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
89.26 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T13
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T28,T9

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T28,T9

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT28,T9,T11

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT21,T28,T9
10CoveredT1,T5,T13
11CoveredT21,T28,T9

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT28,T9,T11
01CoveredT28,T23,T65
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT28,T9,T11
01CoveredT28,T9,T12
10CoveredT63

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT28,T9,T11
1-CoveredT28,T9,T12

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
97.99 94.74
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T15
1CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T5,T15
10CoveredT1,T5,T15
11CoveredT1,T5,T15

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T5,T15
01CoveredT15,T17,T3
10CoveredT15,T17,T3

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT1,T5,T15
01CoveredT1,T5,T15
10CoveredT6,T66,T67

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT1,T5,T15
1-CoveredT1,T5,T15

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T5,T15

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T22,T23

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T22,T23

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT22,T23,T30

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT21,T22,T23
10CoveredT1,T5,T15
11CoveredT21,T22,T23

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT22,T23,T30
01CoveredT68,T69,T70
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT22,T23,T30
01Unreachable
10CoveredT22,T23,T30

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
90.61 85.71
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222090.91
Logical222090.91
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T1,T5
10CoveredT1,T5,T13
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT2,T21,T9

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT2,T21,T9

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT2,T9,T11

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT2,T21,T9
10CoveredT4,T1,T5
11CoveredT2,T21,T9

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T9,T11
01CoveredT12,T23,T32
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT2,T9,T11
01CoveredT2,T12,T23
10Not Covered

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT2,T9,T11
1-CoveredT2,T12,T23

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT16,T2,T21

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T1,T5
10CoveredT16,T2,T21
11CoveredT16,T2,T21

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T22,T23

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T22,T23

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT22,T40,T43

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT21,T22,T23
10CoveredT16,T2,T24
11CoveredT21,T22,T23

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT22,T40,T43
01CoveredT22,T40,T43
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT22,T40,T43
01Unreachable
10CoveredT22,T40,T43

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT1,T5,T15
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT1,T5,T15
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T22,T23

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT21,T22,T23

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT22,T23,T40

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT21,T22,T23
10CoveredT1,T5,T15
11CoveredT21,T22,T23

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT22,T23,T40
01CoveredT22,T71,T72
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT22,T23,T40
01Unreachable
10CoveredT22,T23,T40

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T21,T28,T9
DetectSt 168 Covered T28,T9,T11
IdleSt 163 Covered T4,T1,T5
StableSt 191 Covered T28,T9,T11


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T28,T9,T11
DebounceSt->IdleSt 163 Covered T21,T11,T23
DetectSt->IdleSt 186 Covered T28,T22,T23
DetectSt->StableSt 191 Covered T28,T9,T11
IdleSt->DebounceSt 148 Covered T21,T28,T9
StableSt->IdleSt 206 Covered T28,T9,T12



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.69 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
89.26 90.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
90.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T21,T28,T9
0 1 Covered T21,T28,T9
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T28,T9,T11
0 Covered T4,T1,T5


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T21,T28,T9
IdleSt 0 - - - - - - Covered T4,T1,T5
DebounceSt - 1 - - - - - Covered T21,T63
DebounceSt - 0 1 1 - - - Covered T28,T9,T11
DebounceSt - 0 1 0 - - - Covered T11,T23,T32
DebounceSt - 0 0 - - - - Covered T21,T28,T9
DetectSt - - - - 1 - - Covered T28,T22,T23
DetectSt - - - - 0 1 - Covered T28,T9,T11
DetectSt - - - - 0 0 - Covered T1,T5,T15
StableSt - - - - - - 1 Covered T28,T9,T12
StableSt - - - - - - 0 Covered T28,T9,T11
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
97.99 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T5,T15
0 1 Covered T1,T5,T15
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T5,T15
0 Covered T4,T1,T5


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T1,T5,T15
IdleSt 0 - - - - - - Covered T1,T5,T15
DebounceSt - 1 - - - - - Covered T21,T63
DebounceSt - 0 1 1 - - - Covered T1,T5,T15
DebounceSt - 0 1 0 - - - Covered T21,T40,T43
DebounceSt - 0 0 - - - - Covered T1,T5,T15
DetectSt - - - - 1 - - Covered T15,T17,T3
DetectSt - - - - 0 1 - Covered T1,T5,T15
DetectSt - - - - 0 0 - Covered T1,T5,T15
StableSt - - - - - - 1 Covered T1,T5,T15
StableSt - - - - - - 0 Covered T1,T5,T15
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 167695762 17091 0 0
CntIncr_A 167695762 2948338 0 0
CntNoWrap_A 167695762 151554095 0 0
DetectStDropOut_A 167695762 1567 0 0
DetectedOut_A 167695762 1386360 0 0
DetectedPulseOut_A 167695762 5811 0 0
DisabledIdleSt_A 167695762 139485901 0 0
DisabledNoDetection_A 167695762 139540940 0 0
EnterDebounceSt_A 167695762 8854 0 0
EnterDetectSt_A 167695762 8262 0 0
EnterStableSt_A 167695762 5811 0 0
PulseIsPulse_A 167695762 5811 0 0
StayInStableSt 167695762 1379753 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 58048533 48364 0 0
gen_high_event_sva.HighLevelEvent_A 32249185 29159720 0 0
gen_high_level_sva.HighLevelEvent_A 109647229 99143048 0 0
gen_low_level_sva.LowLevelEvent_A 58048533 52487496 0 0
gen_not_sticky_sva.StableStDropOut_A 148346251 4853 0 0
gen_sticky_sva.StableStDropOut_A 19349511 266966 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 17091 0 0
T1 294512 52 0 0
T2 14521 0 0 0
T3 431652 48 0 0
T5 50120 42 0 0
T6 214435 36 0 0
T7 404388 4 0 0
T8 681318 2 0 0
T10 0 7 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 65 0 0
T16 4016 0 0 0
T17 127959 24 0 0
T21 127836 25 0 0
T23 0 4 0 0
T24 8856 0 0 0
T25 8874 0 0 0
T26 6578 0 0 0
T28 9997 6 0 0
T31 0 40 0 0
T33 0 2 0 0
T38 0 4 0 0
T40 0 4 0 0
T41 0 4 0 0
T42 0 2 0 0
T43 0 4 0 0
T44 0 4 0 0
T45 8892 0 0 0
T46 5239 0 0 0
T47 5577 0 0 0
T73 0 1 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 2948338 0 0
T1 294512 1764 0 0
T2 14521 0 0 0
T3 431652 9666 0 0
T5 50120 798 0 0
T6 214435 1176 0 0
T7 404388 262 0 0
T8 681318 80 0 0
T10 0 576 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 10242 0 0
T16 4016 0 0 0
T17 127959 931 0 0
T21 127836 772 0 0
T23 0 133 0 0
T24 8856 0 0 0
T25 8874 0 0 0
T26 6578 0 0 0
T28 9997 229 0 0
T31 0 590 0 0
T33 0 83 0 0
T38 0 24 0 0
T40 0 304 0 0
T41 0 85 0 0
T42 0 54 0 0
T43 0 8469 0 0
T44 0 65 0 0
T45 8892 0 0 0
T46 5239 0 0 0
T47 5577 0 0 0
T73 0 65 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 151554095 0 0
T1 957164 944110 0 0
T2 29042 18600 0 0
T3 863304 852180 0 0
T4 10452 26 0 0
T5 162890 152316 0 0
T13 16380 5954 0 0
T14 21528 11102 0 0
T15 773396 762215 0 0
T16 13052 2626 0 0
T17 255918 245188 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 1567 0 0
T3 33204 6 0 0
T6 16495 0 0 0
T7 22466 0 0 0
T8 75702 1 0 0
T9 1094 0 0 0
T10 21225 0 0 0
T17 9843 1 0 0
T21 7102 1 0 0
T23 15192 1 0 0
T24 492 0 0 0
T25 493 0 0 0
T26 1012 0 0 0
T27 1008 0 0 0
T28 1538 1 0 0
T45 494 0 0 0
T46 806 0 0 0
T47 858 0 0 0
T48 842 0 0 0
T55 846 0 0 0
T56 1640 0 0 0
T57 409 0 0 0
T59 0 9 0 0
T74 0 12 0 0
T75 0 20 0 0
T76 0 9 0 0
T77 0 9 0 0
T78 0 9 0 0
T79 0 6 0 0
T80 0 1 0 0
T81 0 4 0 0
T82 0 2 0 0
T83 0 7 0 0
T84 0 9 0 0
T85 0 1 0 0
T86 0 1 0 0
T87 0 1 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 1386360 0 0
T1 294512 2558 0 0
T2 8936 0 0 0
T3 265632 0 0 0
T5 50120 463 0 0
T6 131960 1615 0 0
T7 0 54 0 0
T9 1094 0 0 0
T10 42450 14 0 0
T11 66940 0 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 5360 0 0
T16 4016 0 0 0
T17 78744 0 0 0
T21 0 393 0 0
T23 0 2 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 13 0 0
T31 0 2090 0 0
T33 0 4 0 0
T38 0 14 0 0
T40 0 25 0 0
T41 0 10 0 0
T42 0 11 0 0
T43 0 20 0 0
T44 0 9 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 818 0 0 0
T88 0 83 0 0
T89 0 41 0 0
T90 0 18 0 0
T91 0 16 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 5811 0 0
T1 294512 26 0 0
T2 8936 0 0 0
T3 265632 0 0 0
T5 50120 21 0 0
T6 131960 18 0 0
T7 0 2 0 0
T9 1094 0 0 0
T10 42450 3 0 0
T11 66940 0 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 32 0 0
T16 4016 0 0 0
T17 78744 0 0 0
T21 0 6 0 0
T23 0 1 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 2 0 0
T31 0 20 0 0
T33 0 1 0 0
T38 0 2 0 0
T40 0 2 0 0
T41 0 2 0 0
T42 0 1 0 0
T43 0 2 0 0
T44 0 2 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 818 0 0 0
T88 0 2 0 0
T89 0 3 0 0
T90 0 2 0 0
T91 0 2 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 139485901 0 0
T1 957164 914507 0 0
T2 29042 15051 0 0
T3 863304 752456 0 0
T4 10452 26 0 0
T5 162890 137816 0 0
T13 16380 5954 0 0
T14 21528 11102 0 0
T15 773396 686466 0 0
T16 13052 2626 0 0
T17 255918 225911 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 139540940 0 0
T1 957164 914829 0 0
T2 29042 15072 0 0
T3 863304 752544 0 0
T4 10452 52 0 0
T5 162890 137838 0 0
T13 16380 5980 0 0
T14 21528 11128 0 0
T15 773396 686560 0 0
T16 13052 2652 0 0
T17 255918 225957 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 8854 0 0
T1 294512 26 0 0
T2 14521 0 0 0
T3 431652 24 0 0
T5 50120 21 0 0
T6 214435 18 0 0
T7 404388 2 0 0
T8 681318 1 0 0
T10 0 4 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 33 0 0
T16 4016 0 0 0
T17 127959 12 0 0
T21 127836 15 0 0
T23 0 2 0 0
T24 8856 0 0 0
T25 8874 0 0 0
T26 6578 0 0 0
T28 9997 3 0 0
T31 0 20 0 0
T33 0 1 0 0
T38 0 2 0 0
T40 0 2 0 0
T41 0 2 0 0
T42 0 1 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 8892 0 0 0
T46 5239 0 0 0
T47 5577 0 0 0
T73 0 1 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 8262 0 0
T1 294512 26 0 0
T2 8936 0 0 0
T3 265632 0 0 0
T5 50120 21 0 0
T6 131960 18 0 0
T7 0 2 0 0
T8 0 1 0 0
T9 1094 0 0 0
T10 42450 3 0 0
T11 66940 0 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 32 0 0
T16 4016 0 0 0
T17 78744 12 0 0
T21 0 10 0 0
T23 0 2 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 3 0 0
T31 0 20 0 0
T33 0 1 0 0
T38 0 2 0 0
T40 0 2 0 0
T41 0 2 0 0
T42 0 1 0 0
T43 0 2 0 0
T44 0 2 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 818 0 0 0
T90 0 2 0 0
T91 0 2 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 5811 0 0
T1 294512 26 0 0
T2 8936 0 0 0
T3 265632 0 0 0
T5 50120 21 0 0
T6 131960 18 0 0
T7 0 2 0 0
T9 1094 0 0 0
T10 42450 3 0 0
T11 66940 0 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 32 0 0
T16 4016 0 0 0
T17 78744 0 0 0
T21 0 6 0 0
T23 0 1 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 2 0 0
T31 0 20 0 0
T33 0 1 0 0
T38 0 2 0 0
T40 0 2 0 0
T41 0 2 0 0
T42 0 1 0 0
T43 0 2 0 0
T44 0 2 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 818 0 0 0
T88 0 2 0 0
T89 0 3 0 0
T90 0 2 0 0
T91 0 2 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 5811 0 0
T1 294512 26 0 0
T2 8936 0 0 0
T3 265632 0 0 0
T5 50120 21 0 0
T6 131960 18 0 0
T7 0 2 0 0
T9 1094 0 0 0
T10 42450 3 0 0
T11 66940 0 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 32 0 0
T16 4016 0 0 0
T17 78744 0 0 0
T21 0 6 0 0
T23 0 1 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 2 0 0
T31 0 20 0 0
T33 0 1 0 0
T38 0 2 0 0
T40 0 2 0 0
T41 0 2 0 0
T42 0 1 0 0
T43 0 2 0 0
T44 0 2 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 818 0 0 0
T88 0 2 0 0
T89 0 3 0 0
T90 0 2 0 0
T91 0 2 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 167695762 1379753 0 0
T1 294512 2526 0 0
T2 8936 0 0 0
T3 265632 0 0 0
T5 50120 442 0 0
T6 131960 1595 0 0
T7 0 52 0 0
T9 1094 0 0 0
T10 42450 11 0 0
T11 66940 0 0 0
T13 5040 0 0 0
T14 6624 0 0 0
T15 237968 5322 0 0
T16 4016 0 0 0
T17 78744 0 0 0
T21 0 387 0 0
T23 0 1 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 11 0 0
T31 0 2063 0 0
T33 0 3 0 0
T38 0 12 0 0
T40 0 23 0 0
T41 0 8 0 0
T42 0 10 0 0
T43 0 18 0 0
T44 0 7 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 818 0 0 0
T88 0 80 0 0
T89 0 38 0 0
T90 0 16 0 0
T91 0 14 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 58048533 48364 0 0
T1 257698 204 0 0
T2 10053 14 0 0
T3 298836 209 0 0
T5 43855 194 0 0
T6 148455 209 0 0
T7 44932 82 0 0
T9 0 1 0 0
T13 5040 3 0 0
T14 6624 4 0 0
T15 237968 201 0 0
T16 4518 53 0 0
T17 88587 191 0 0
T21 14204 189 0 0
T24 492 9 0 0
T25 493 8 0 0
T26 0 10 0 0
T27 0 5 0 0
T45 494 9 0 0
T47 0 3 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 32249185 29159720 0 0
T1 184070 181660 0 0
T2 5585 3585 0 0
T3 166020 163925 0 0
T4 2010 10 0 0
T5 31325 29325 0 0
T13 3150 1150 0 0
T14 4140 2140 0 0
T15 148730 146630 0 0
T16 2510 510 0 0
T17 49215 47185 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109647229 99143048 0 0
T1 625838 617644 0 0
T2 18989 12189 0 0
T3 564468 557345 0 0
T4 6834 34 0 0
T5 106505 99705 0 0
T13 10710 3910 0 0
T14 14076 7276 0 0
T15 505682 498542 0 0
T16 8534 1734 0 0
T17 167331 160429 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 58048533 52487496 0 0
T1 331326 326988 0 0
T2 10053 6453 0 0
T3 298836 295065 0 0
T4 3618 18 0 0
T5 56385 52785 0 0
T13 5670 2070 0 0
T14 7452 3852 0 0
T15 267714 263934 0 0
T16 4518 918 0 0
T17 88587 84933 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 148346251 4853 0 0
T1 73628 20 0 0
T2 3351 0 0 0
T3 99612 0 0 0
T5 18795 21 0 0
T6 49485 16 0 0
T7 0 2 0 0
T9 547 0 0 0
T10 21225 3 0 0
T13 1890 0 0 0
T14 2484 0 0 0
T15 89238 0 0 0
T16 1506 0 0 0
T17 29529 0 0 0
T21 7102 0 0 0
T23 0 1 0 0
T26 506 0 0 0
T27 504 0 0 0
T28 769 2 0 0
T31 0 13 0 0
T33 0 1 0 0
T38 0 2 0 0
T40 0 2 0 0
T41 0 2 0 0
T42 0 1 0 0
T43 0 2 0 0
T44 0 2 0 0
T47 429 0 0 0
T48 421 0 0 0
T55 423 0 0 0
T56 820 0 0 0
T57 409 0 0 0
T88 0 1 0 0
T89 0 3 0 0
T90 0 2 0 0
T91 0 2 0 0
T92 0 33 0 0
T93 0 5 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 19349511 266966 0 0
T22 19071 683 0 0
T23 45576 86 0 0
T30 7497 168 0 0
T36 1602 0 0 0
T37 1503 0 0 0
T40 0 200 0 0
T43 0 457 0 0
T50 0 687 0 0
T52 1512 0 0 0
T53 1512 0 0 0
T54 1578 0 0 0
T60 0 477 0 0
T61 0 489 0 0
T62 0 920 0 0
T68 0 374 0 0
T94 0 105 0 0
T95 0 634 0 0
T96 0 1012 0 0
T97 0 32 0 0
T98 1218 0 0 0
T99 1233 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%