Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sysrst_ctrl_detect
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.35 100.00 96.30 100.00 95.45 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l 90.21 93.48 90.48 83.33 90.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h 91.56 95.65 90.48 83.33 95.00 93.33
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l 91.64 95.65 90.48 83.33 95.00 93.75
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l 97.61 97.83 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l 97.61 97.83 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l 97.61 97.83 95.24 100.00 95.00 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect 98.10 100.00 90.48 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present 98.67 100.00 93.33 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open 98.89 100.00 94.44 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h 99.05 100.00 95.24 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre 99.05 100.00 100.00 100.00 95.24 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect 100.00 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORELINE
97.61 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORELINE
91.64 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORELINE
90.21 93.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORELINE
97.61 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORELINE
97.61 97.83
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN5811100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
58 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORELINE
91.56 95.65
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Line Coverage for Module self-instances :
SCORELINE
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
==> MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORELINE
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
79 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Line Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Line Coverage for Module self-instances :
SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORELINE
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
60 1 1
69 1 1
70 1 1
72 1 1
76 1 1
92 1 1
99 1 1
101 1 1
104 1 1
105 1 1
107 1 1
125 1 1
128 1 1
129 1 1
132 1 1
133 1 1
138 1 1
140 1 1
147 1 1
148 1 1
149 1 1
MISSING_ELSE
160 1 1
162 1 1
163 1 1
164 1 1
165 1 1
166 1 1
167 1 1
168 1 1
170 1 1
MISSING_ELSE
181 1 1
182 1 1
185 1 1
186 1 1
187 1 1
190 1 1
191 1 1
192 1 1
193 1 1
194 1 1
MISSING_ELSE
205 1 1
206 1 1
209 1 1
219 1 1
220 1 1
222 1 1


Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCORECOND
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT2,T13,T16
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT2,T13,T16
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT2,T16,T8

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT2,T16,T8

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT2,T16,T8

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT2,T16,T8
10CoveredT2,T13,T6
11CoveredT2,T16,T8

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT2,T16,T8
01CoveredT76,T31,T77
10CoveredT78,T50

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT2,T16,T8
01CoveredT2,T8,T10
10CoveredT78,T79,T50

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT2,T16,T8
1-CoveredT2,T8,T10

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.10 90.48
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCORECOND
97.61 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCORECOND
91.64 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCORECOND
90.21 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCORECOND
97.61 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCORECOND
97.61 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T1,T5
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT3,T6,T7

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT3,T6,T7

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT3,T6,T7

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT3,T6,T7
10CoveredT4,T1,T5
11CoveredT3,T6,T7

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT3,T6,T7
01CoveredT71,T80,T81
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT3,T6,T7
01CoveredT3,T6,T7
10CoveredT50

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT3,T6,T7
1-CoveredT3,T6,T7

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCORECOND
99.05 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT13,T16,T8
1CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT13,T16,T8

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT13,T16,T8

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT13,T16,T8

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT13,T16,T8
10CoveredT16,T8,T10
11CoveredT13,T16,T8

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT13,T16,T8
01CoveredT13,T8,T10
10CoveredT8,T10,T38

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT16,T8,T10
01CoveredT16,T8,T10
10CoveredT38,T82,T83

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT16,T8,T10
1-CoveredT16,T8,T10

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.67 93.33
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T21

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T21

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T21,T54

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T17,T21
10CoveredT4,T5,T2
11CoveredT1,T17,T21

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T21,T54
01CoveredT84,T85,T86
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T21,T54
01Unreachable
10CoveredT1,T21,T54

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCORECOND
99.05 95.24
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCORECOND
91.56 90.48
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

TotalCoveredPercent
Conditions222195.45
Logical222195.45
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T1,T5
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT3,T6,T7

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT3,T6,T7

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT3,T6,T9

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT3,T6,T7
10CoveredT4,T1,T5
11CoveredT3,T6,T7

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT3,T6,T9
01CoveredT7,T31,T87
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT3,T6,T9
01CoveredT3,T12,T34
10CoveredT50

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT3,T6,T9
1-CoveredT3,T12,T34

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T1,T5
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T21

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T21

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T54

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T17,T21
10CoveredT4,T5,T3
11CoveredT1,T17,T21

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T17,T54
01CoveredT54,T74,T88
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T17,T54
01Unreachable
10CoveredT1,T17,T54

Cond Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 )
Cond Coverage for Module self-instances :
SCORECOND
98.89 94.44
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

TotalCoveredPercent
Conditions191894.74
Logical191894.74
Non-Logical00
Event00

 LINE       58
 EXPRESSION (trigger_i == 1'b0)
            ---------1---------
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT4,T1,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT4,T1,T5
10CoveredT4,T1,T5
11CoveredT4,T1,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T21

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T21

 LINE       99
 EXPRESSION (thresh_sel ? (16'(cfg_detect_timer_i)) : (16'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT4,T1,T5
1CoveredT1,T17,T54

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT1,T17,T21
10CoveredT4,T5,T2
11CoveredT1,T17,T21

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT1,T17,T54
01CoveredT74,T89,T90
10Not Covered

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active) && (!Sticky))))
             --------1--------    -----------------2----------------
-1--2-StatusTests
00CoveredT1,T17,T54
01Unreachable
10CoveredT1,T17,T54

FSM Coverage for Module : sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T3,T6,T7
DetectSt 168 Covered T3,T6,T7
IdleSt 163 Covered T4,T1,T5
StableSt 191 Covered T3,T6,T7


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T3,T6,T7
DebounceSt->IdleSt 163 Covered T25,T21,T55
DetectSt->IdleSt 186 Covered T54,T71,T80
DetectSt->StableSt 191 Covered T3,T6,T7
IdleSt->DebounceSt 148 Covered T3,T6,T7
StableSt->IdleSt 206 Covered T3,T6,T7



Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=2,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=1,EventType=3,Sticky=0,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=3,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.10 100.00
tb.dut.u_sysrst_ctrl_autoblock.u_sysrst_ctrl_detect

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
97.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
91.64 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
90.21 90.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
97.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
97.61 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_h2l

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_pwrb

SCOREBRANCH
98.89 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_lid_open

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[0].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[1].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[2].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[3].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[4].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
99.05 100.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[5].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
91.56 95.00
tb.dut.u_sysrst_ctrl_keyintr.gen_keyfsm[6].u_sysrst_ctrl_detect_l2h

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect

SCOREBRANCH
100.00 100.00
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Line No.TotalCoveredPercent
Branches 23 22 95.65
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00
IF 69 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T3,T6,T7
0 1 Covered T3,T6,T7
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T3,T6,T7
0 Covered T4,T1,T5


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T3,T6,T7
IdleSt 0 - - - - - - Covered T4,T1,T5
DebounceSt - 1 - - - - - Covered T78,T50
DebounceSt - 0 1 1 - - - Covered T3,T6,T7
DebounceSt - 0 1 0 - - - Covered T25,T21,T57
DebounceSt - 0 0 - - - - Covered T3,T6,T7
DetectSt - - - - 1 - - Covered T54,T71,T80
DetectSt - - - - 0 1 - Covered T3,T6,T7
DetectSt - - - - 0 0 - Covered T2,T16,T8
StableSt - - - - - - 1 Covered T3,T6,T7
StableSt - - - - - - 0 Covered T3,T6,T7
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


Branch Coverage for Module : sysrst_ctrl_detect ( parameter DebounceTimerWidth=16,DetectTimerWidth=1,EventType=1,Sticky=1,TimerWidth=16 + DebounceTimerWidth=16,DetectTimerWidth=32,EventType=1,Sticky=0,TimerWidth=32 )
Branch Coverage for Module self-instances :
SCOREBRANCH
98.67 100.00
tb.dut.u_sysrst_ctrl_ulp.u_sysrst_ctrl_detect_ac_present

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[0].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[1].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[2].u_sysrst_ctrl_detect_pre

SCOREBRANCH
99.05 95.24
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Line No.TotalCoveredPercent
Branches 21 20 95.24
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 12 11 91.67
IF 219 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv' or '../src/lowrisc_ip_sysrst_ctrl_1.0/rtl/sysrst_ctrl_detect.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 92 (cnt_clr) ? -2-: 92 (cnt_en) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T13,T16
0 1 Covered T1,T13,T16
0 0 Covered T4,T1,T5


LineNo. Expression -1-: 99 (thresh_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T13,T16
0 Covered T4,T1,T5


LineNo. Expression -1-: 104 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


LineNo. Expression -1-: 140 case (state_q) -2-: 147 if ((trigger_event && cfg_enable_i)) -3-: 162 if ((!cfg_enable_i)) -4-: 165 if (cnt_done) -5-: 167 if (trigger_active) -6-: 185 if (((!cfg_enable_i) || (!trigger_active))) -7-: 190 if (cnt_done) -8-: 205 if (((!cfg_enable_i) || ((!trigger_active) && (!Sticky))))

Branches:
-1--2--3--4--5--6--7--8-StatusTests
IdleSt 1 - - - - - - Covered T1,T13,T16
IdleSt 0 - - - - - - Covered T4,T1,T5
DebounceSt - 1 - - - - - Covered T78,T50
DebounceSt - 0 1 1 - - - Covered T1,T13,T16
DebounceSt - 0 1 0 - - - Covered T17,T73,T74
DebounceSt - 0 0 - - - - Covered T1,T13,T16
DetectSt - - - - 1 - - Covered T13,T8,T10
DetectSt - - - - 0 1 - Covered T1,T16,T8
DetectSt - - - - 0 0 - Covered T13,T16,T8
StableSt - - - - - - 1 Covered T1,T16,T8
StableSt - - - - - - 0 Covered T1,T16,T8
default - - - - - - - Not Covered


LineNo. Expression -1-: 219 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T4,T1,T5
0 Covered T4,T1,T5


Assert Coverage for Module : sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 19 19 100.00 19 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 19 19 100.00 19 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 214125730 18347 0 0
CntIncr_A 214125730 2276764 0 0
CntNoWrap_A 214125730 197530823 0 0
DetectStDropOut_A 214125730 2102 0 0
DetectedOut_A 214125730 1890408 0 0
DetectedPulseOut_A 214125730 6005 0 0
DisabledIdleSt_A 214125730 185415089 0 0
DisabledNoDetection_A 214125730 185472059 0 0
EnterDebounceSt_A 214125730 9461 0 0
EnterDetectSt_A 214125730 8902 0 0
EnterStableSt_A 214125730 6005 0 0
PulseIsPulse_A 214125730 6005 0 0
StayInStableSt 214125730 1883545 0 0
gen_edge_to_low_event_sva.EdgeToLowEvent_A 74120445 50414 0 0
gen_high_event_sva.HighLevelEvent_A 41178025 38002035 0 0
gen_high_level_sva.HighLevelEvent_A 140005285 129206919 0 0
gen_low_level_sva.LowLevelEvent_A 74120445 68403663 0 0
gen_not_sticky_sva.StableStDropOut_A 189418915 4960 0 0
gen_sticky_sva.StableStDropOut_A 24706815 1501733 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 18347 0 0
T2 99537 26 0 0
T3 10590 0 0 0
T6 42938 0 0 0
T7 54375 4 0 0
T8 364256 16 0 0
T9 10336 0 0 0
T13 40362 28 0 0
T14 6860 0 0 0
T15 6120 0 0 0
T16 318512 60 0 0
T22 1509 0 0 0
T23 1515 0 0 0
T24 7590 6 0 0
T25 4431 5 0 0
T27 571 0 0 0
T28 0 62 0 0
T29 0 10 0 0
T30 0 35 0 0
T32 0 16 0 0
T35 543 0 0 0
T39 0 3 0 0
T43 0 5 0 0
T44 0 4 0 0
T45 0 4 0 0
T46 0 2 0 0
T47 0 2 0 0
T48 0 2 0 0
T49 9212 0 0 0
T64 1004 0 0 0
T76 0 4 0 0
T91 0 4 0 0
T92 0 4 0 0
T93 842 0 0 0
T94 423 0 0 0
T95 8533 0 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 2276764 0 0
T2 99537 1560 0 0
T3 10590 0 0 0
T6 42938 0 0 0
T7 54375 28 0 0
T8 364256 1276 0 0
T9 10336 0 0 0
T13 40362 874 0 0
T14 6860 0 0 0
T15 6120 0 0 0
T16 318512 2036 0 0
T22 1509 0 0 0
T23 1515 0 0 0
T24 7590 236 0 0
T25 4431 118 0 0
T27 571 0 0 0
T28 0 1861 0 0
T29 0 315 0 0
T30 0 1369 0 0
T32 0 1099 0 0
T35 543 0 0 0
T39 0 41 0 0
T43 0 174 0 0
T44 0 55 0 0
T45 0 107 0 0
T46 0 69 0 0
T47 0 36 0 0
T48 0 80 0 0
T49 9212 0 0 0
T55 0 57 0 0
T64 1004 0 0 0
T76 0 50 0 0
T91 0 88 0 0
T93 842 0 0 0
T94 423 0 0 0
T95 8533 0 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 197530823 0 0
T1 29146 18714 0 0
T2 862654 849807 0 0
T3 27534 17094 0 0
T4 12844 2418 0 0
T5 12792 2366 0 0
T6 79742 17186 0 0
T7 94250 31698 0 0
T13 149916 139384 0 0
T14 12740 2314 0 0
T15 10608 182 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 2102 0 0
T8 0 1 0 0
T10 0 10 0 0
T13 5766 14 0 0
T38 0 13 0 0
T41 0 23 0 0
T42 0 4 0 0
T71 11017 1 0 0
T96 5869 27 0 0
T97 9482 7 0 0
T98 0 8 0 0
T99 0 5 0 0
T100 0 1 0 0
T101 0 6 0 0
T102 0 7 0 0
T103 0 3 0 0
T104 0 1 0 0
T105 0 3 0 0
T106 0 2 0 0
T107 0 10 0 0
T108 0 4 0 0
T109 0 1 0 0
T110 21347 0 0 0
T111 845 0 0 0
T112 522 0 0 0
T113 13374 0 0 0
T114 491 0 0 0
T115 37311 0 0 0
T116 404 0 0 0
T117 425 0 0 0
T118 422 0 0 0
T119 493 0 0 0
T120 522 0 0 0
T121 527 0 0 0
T122 444 0 0 0
T123 415 0 0 0
T124 184249 0 0 0
T125 496 0 0 0
T126 531 0 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 1890408 0 0
T2 99537 395 0 0
T3 6354 0 0 0
T6 30670 0 0 0
T7 39875 9 0 0
T8 364256 0 0 0
T9 10336 0 0 0
T13 17298 0 0 0
T14 4900 0 0 0
T15 4488 0 0 0
T16 318512 861 0 0
T22 3521 0 0 0
T23 3535 0 0 0
T24 10626 23 0 0
T25 6963 14 0 0
T27 571 0 0 0
T28 0 1712 0 0
T29 0 3281 0 0
T30 0 1630 0 0
T32 0 488 0 0
T35 543 0 0 0
T38 0 4 0 0
T43 0 17 0 0
T44 0 12 0 0
T45 0 5 0 0
T46 0 5 0 0
T47 0 4 0 0
T48 0 11 0 0
T49 9212 0 0 0
T55 0 9 0 0
T64 3012 0 0 0
T76 0 12 0 0
T91 0 1213 0 0
T92 0 7 0 0
T93 2526 0 0 0
T94 423 0 0 0
T95 8533 0 0 0
T127 0 9 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 6005 0 0
T2 99537 13 0 0
T3 6354 0 0 0
T6 30670 0 0 0
T7 39875 2 0 0
T8 364256 0 0 0
T9 10336 0 0 0
T13 17298 0 0 0
T14 4900 0 0 0
T15 4488 0 0 0
T16 318512 30 0 0
T22 3521 0 0 0
T23 3535 0 0 0
T24 10626 3 0 0
T25 6963 2 0 0
T27 571 0 0 0
T28 0 31 0 0
T29 0 32 0 0
T30 0 17 0 0
T32 0 7 0 0
T35 543 0 0 0
T38 0 4 0 0
T43 0 2 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 9212 0 0 0
T55 0 2 0 0
T64 3012 0 0 0
T76 0 2 0 0
T91 0 9 0 0
T92 0 2 0 0
T93 2526 0 0 0
T94 423 0 0 0
T95 8533 0 0 0
T127 0 1 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 185415089 0 0
T1 29146 16635 0 0
T2 862654 831926 0 0
T3 27534 13838 0 0
T4 12844 2418 0 0
T5 12792 2366 0 0
T6 79742 13222 0 0
T7 94250 27286 0 0
T13 149916 126086 0 0
T14 12740 2314 0 0
T15 10608 182 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 185472059 0 0
T1 29146 16661 0 0
T2 862654 832234 0 0
T3 27534 13859 0 0
T4 12844 2444 0 0
T5 12792 2392 0 0
T6 79742 13338 0 0
T7 94250 27410 0 0
T13 149916 126108 0 0
T14 12740 2340 0 0
T15 10608 208 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 9461 0 0
T2 99537 13 0 0
T3 10590 0 0 0
T6 42938 0 0 0
T7 54375 2 0 0
T8 364256 8 0 0
T9 10336 0 0 0
T13 40362 14 0 0
T14 6860 0 0 0
T15 6120 0 0 0
T16 318512 30 0 0
T22 1509 0 0 0
T23 1515 0 0 0
T24 7590 3 0 0
T25 4431 3 0 0
T27 571 0 0 0
T28 0 31 0 0
T29 0 5 0 0
T30 0 18 0 0
T32 0 9 0 0
T35 543 0 0 0
T39 0 2 0 0
T43 0 3 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 9212 0 0 0
T55 0 1 0 0
T64 1004 0 0 0
T76 0 2 0 0
T91 0 2 0 0
T93 842 0 0 0
T94 423 0 0 0
T95 8533 0 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 8902 0 0
T2 99537 13 0 0
T3 10590 0 0 0
T6 42938 0 0 0
T7 54375 2 0 0
T8 364256 0 0 0
T9 10336 0 0 0
T13 40362 14 0 0
T14 6860 0 0 0
T15 6120 0 0 0
T16 318512 30 0 0
T22 1509 0 0 0
T23 1515 0 0 0
T24 7590 3 0 0
T25 4431 2 0 0
T27 571 0 0 0
T28 0 31 0 0
T29 0 5 0 0
T30 0 17 0 0
T32 0 7 0 0
T35 543 0 0 0
T43 0 2 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 9212 0 0 0
T55 0 2 0 0
T64 1004 0 0 0
T76 0 2 0 0
T91 0 2 0 0
T92 0 2 0 0
T93 842 0 0 0
T94 423 0 0 0
T95 8533 0 0 0
T127 0 1 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 6005 0 0
T2 99537 13 0 0
T3 6354 0 0 0
T6 30670 0 0 0
T7 39875 2 0 0
T8 364256 0 0 0
T9 10336 0 0 0
T13 17298 0 0 0
T14 4900 0 0 0
T15 4488 0 0 0
T16 318512 30 0 0
T22 3521 0 0 0
T23 3535 0 0 0
T24 10626 3 0 0
T25 6963 2 0 0
T27 571 0 0 0
T28 0 31 0 0
T29 0 32 0 0
T30 0 17 0 0
T32 0 7 0 0
T35 543 0 0 0
T38 0 4 0 0
T43 0 2 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 9212 0 0 0
T55 0 2 0 0
T64 3012 0 0 0
T76 0 2 0 0
T91 0 9 0 0
T92 0 2 0 0
T93 2526 0 0 0
T94 423 0 0 0
T95 8533 0 0 0
T127 0 1 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 6005 0 0
T2 99537 13 0 0
T3 6354 0 0 0
T6 30670 0 0 0
T7 39875 2 0 0
T8 364256 0 0 0
T9 10336 0 0 0
T13 17298 0 0 0
T14 4900 0 0 0
T15 4488 0 0 0
T16 318512 30 0 0
T22 3521 0 0 0
T23 3535 0 0 0
T24 10626 3 0 0
T25 6963 2 0 0
T27 571 0 0 0
T28 0 31 0 0
T29 0 32 0 0
T30 0 17 0 0
T32 0 7 0 0
T35 543 0 0 0
T38 0 4 0 0
T43 0 2 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 9212 0 0 0
T55 0 2 0 0
T64 3012 0 0 0
T76 0 2 0 0
T91 0 9 0 0
T92 0 2 0 0
T93 2526 0 0 0
T94 423 0 0 0
T95 8533 0 0 0
T127 0 1 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 214125730 1883545 0 0
T2 99537 382 0 0
T3 6354 0 0 0
T6 30670 0 0 0
T7 39875 7 0 0
T8 364256 0 0 0
T9 10336 0 0 0
T13 17298 0 0 0
T14 4900 0 0 0
T15 4488 0 0 0
T16 318512 823 0 0
T22 3521 0 0 0
T23 3535 0 0 0
T24 10626 20 0 0
T25 6963 12 0 0
T27 571 0 0 0
T28 0 1681 0 0
T29 0 3244 0 0
T30 0 1611 0 0
T32 0 481 0 0
T35 543 0 0 0
T39 0 35 0 0
T43 0 15 0 0
T44 0 10 0 0
T45 0 3 0 0
T46 0 4 0 0
T47 0 3 0 0
T48 0 10 0 0
T49 9212 0 0 0
T55 0 7 0 0
T64 3012 0 0 0
T76 0 10 0 0
T91 0 1200 0 0
T92 0 5 0 0
T93 2526 0 0 0
T94 423 0 0 0
T95 8533 0 0 0
T127 0 8 0 0

gen_edge_to_low_event_sva.EdgeToLowEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74120445 50414 0 0
T1 10089 20 0 0
T2 298611 90 0 0
T3 9531 10 0 0
T4 4446 62 0 0
T5 4428 54 0 0
T6 27603 112 0 0
T7 32625 169 0 0
T8 0 95 0 0
T9 0 2 0 0
T13 51894 176 0 0
T14 4410 63 0 0
T15 3672 1 0 0
T16 0 215 0 0
T22 0 14 0 0
T23 0 8 0 0
T49 0 5 0 0
T93 0 2 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 41178025 38002035 0 0
T1 5605 3605 0 0
T2 165895 163505 0 0
T3 5295 3295 0 0
T4 2470 470 0 0
T5 2460 460 0 0
T6 15335 3335 0 0
T7 18125 6125 0 0
T13 28830 26830 0 0
T14 2450 450 0 0
T15 2040 40 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 140005285 129206919 0 0
T1 19057 12257 0 0
T2 564043 555917 0 0
T3 18003 11203 0 0
T4 8398 1598 0 0
T5 8364 1564 0 0
T6 52139 11339 0 0
T7 61625 20825 0 0
T13 98022 91222 0 0
T14 8330 1530 0 0
T15 6936 136 0 0

gen_low_level_sva.LowLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 74120445 68403663 0 0
T1 10089 6489 0 0
T2 298611 294309 0 0
T3 9531 5931 0 0
T4 4446 846 0 0
T5 4428 828 0 0
T6 27603 6003 0 0
T7 32625 11025 0 0
T13 51894 48294 0 0
T14 4410 810 0 0
T15 3672 72 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 189418915 4960 0 0
T2 66358 13 0 0
T3 2118 0 0 0
T6 6134 0 0 0
T7 10875 2 0 0
T8 113830 0 0 0
T9 3040 0 0 0
T13 11532 0 0 0
T14 980 0 0 0
T15 1224 0 0 0
T16 99535 22 0 0
T22 1509 0 0 0
T23 1515 0 0 0
T24 2277 3 0 0
T25 1899 2 0 0
T28 12283 31 0 0
T29 0 27 0 0
T30 0 15 0 0
T32 0 7 0 0
T40 707 0 0 0
T43 0 2 0 0
T44 0 2 0 0
T45 0 2 0 0
T46 0 1 0 0
T47 0 1 0 0
T48 0 1 0 0
T49 1974 0 0 0
T55 0 2 0 0
T64 1004 0 0 0
T71 0 1 0 0
T76 0 2 0 0
T92 0 2 0 0
T93 842 0 0 0
T127 0 1 0 0
T128 0 16 0 0
T129 424 0 0 0
T130 406 0 0 0

gen_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 24706815 1501733 0 0
T1 3363 986 0 0
T2 99537 0 0 0
T3 3177 0 0 0
T5 1476 0 0 0
T6 9201 0 0 0
T7 10875 0 0 0
T13 17298 0 0 0
T14 1470 0 0 0
T15 1224 0 0 0
T16 59721 0 0 0
T17 0 549 0 0
T21 0 27185 0 0
T54 0 767 0 0
T57 0 365 0 0
T71 0 504 0 0
T72 0 4334 0 0
T73 0 83 0 0
T75 0 406 0 0
T84 0 772 0 0
T85 0 119 0 0
T88 0 292 0 0
T131 0 902 0 0
T132 0 1213 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%