dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 u_sysrst_ctrl_combo


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Module Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 u_sysrst_ctrl_combo


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Go back
Module Instances:
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre
tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect
Line Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre
Line No.TotalCoveredPercent
TOTAL4343100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00

59 end else begin : gen_trigger_active_high 60 1/1 assign trigger_active = (trigger_i == 1'b1); Tests: T12 T20 T30  61 end 62 63 // In case of edge events, we also need to detect the transition. 64 logic trigger_event; 65 if (EventType inside {EdgeToLow, EdgeToHigh}) begin : gen_trigger_event_edge 66 // This flop is always active, no matter the enable state. 67 logic trigger_active_q; 68 always_ff @(posedge clk_i or negedge rst_ni) begin : p_trigger_reg 69 if (!rst_ni) begin 70 trigger_active_q <= 1'b0; 71 end else begin 72 trigger_active_q <= trigger_active; 73 end 74 end 75 76 assign trigger_event = trigger_active & ~trigger_active_q; 77 // In case of level events, the event is equal to the level being active. 78 end else begin : gen_trigger_event_level 79 1/1 assign trigger_event = trigger_active; Tests: T12 T20 T30  80 end 81 82 ///////////////// 83 // Timer Logic // 84 ///////////////// 85 86 // Take the maximum width of both timer values. 87 localparam int unsigned TimerWidth = 88 (DetectTimerWidth > DebounceTimerWidth) ? DetectTimerWidth : DebounceTimerWidth; 89 90 logic cnt_en, cnt_clr; 91 logic [TimerWidth-1:0] cnt_d, cnt_q; 92 1/1 assign cnt_d = (cnt_clr) ? '0 : Tests: T12 T20 T30  93 (cnt_en) ? cnt_q + 1'b1 : 94 cnt_q; 95 96 97 logic cnt_done, thresh_sel; 98 logic [TimerWidth-1:0] thresh; 99 1/1 assign thresh = (thresh_sel) ? TimerWidth'(cfg_detect_timer_i) : Tests: T1 T2 T3  100 TimerWidth'(cfg_debounce_timer_i); 101 1/1 assign cnt_done = (cnt_q >= thresh); Tests: T1 T2 T3  102 103 always_ff @(posedge clk_i or negedge rst_ni) begin : p_cnt_reg 104 1/1 if (!rst_ni) begin Tests: T1 T4 T5  105 1/1 cnt_q <= '0; Tests: T1 T4 T5  106 end else begin 107 1/1 cnt_q <= cnt_d; Tests: T1 T4 T5  108 end 109 end 110 111 ///////// 112 // FSM // 113 ///////// 114 115 typedef enum logic [1:0] { 116 IdleSt, 117 DebounceSt, 118 DetectSt, 119 StableSt 120 } state_t; 121 122 state_t state_d, state_q; 123 124 always_comb begin : p_fsm 125 1/1 state_d = state_q; Tests: T12 T20 T30  126 127 // Counter controls (clear has priority). 128 1/1 cnt_clr = 1'b0; Tests: T12 T20 T30  129 1/1 cnt_en = 1'b0; Tests: T12 T20 T30  130 131 // Detected outputs 132 1/1 event_detected_o = 1'b0; Tests: T12 T20 T30  133 1/1 event_detected_pulse_o = 1'b0; Tests: T12 T20 T30  134 135 // Threshold select 136 // 0: debounce 137 // 1: detect 138 1/1 thresh_sel = 1'b0; Tests: T12 T20 T30  139 140 1/1 unique case (state_q) Tests: T12 T20 T30  141 //////////////////////////////////////////// 142 // We are waiting for the event to occur. 143 // This can be either a specific level or edge, 144 // depending on the configuration. 145 IdleSt: begin 146 // Stay here if the detector is disabled. 147 1/1 if (trigger_event && cfg_enable_i) begin Tests: T12 T20 T30  148 1/1 state_d = DebounceSt; Tests: T12 T20 T30  149 1/1 cnt_en = 1'b1; Tests: T12 T20 T30  150 end MISSING_ELSE 151 end 152 //////////////////////////////////////////// 153 // If an event has occurred, we back off for 154 // the amount of debounce cycles configured. 155 // Once the timer has expired, we sample the 156 // signal again and check whether it has the 157 // correct level. If so, we move on to the 158 // detection stage, otherwise we fall back. 159 DebounceSt: begin 160 1/1 cnt_en = 1'b1; Tests: T12 T20 T30  161 // Unconditionally go back to idle if the detector is disabled. 162 1/1 if (!cfg_enable_i) begin Tests: T12 T20 T30  163 1/1 state_d = IdleSt; Tests: T12 T55  164 1/1 cnt_clr = 1'b1; Tests: T12 T55  165 1/1 end else if (cnt_done) begin Tests: T12 T20 T30  166 1/1 cnt_clr = 1'b1; Tests: T12 T20 T30  167 1/1 if (trigger_active) begin Tests: T12 T20 T30  168 1/1 state_d = DetectSt; Tests: T12 T20 T30  169 end else begin 170 1/1 state_d = IdleSt; Tests: T12 T55 T102  171 end 172 end MISSING_ELSE 173 end 174 //////////////////////////////////////////// 175 // Once the debounce period has passed, we 176 // check whether the signal remains stable 177 // throughout the entire detection period. 178 // If it is not stable at any cycle, we fall 179 // back to idle. 180 DetectSt: begin 181 1/1 thresh_sel = 1'b1; Tests: T12 T20 T30  182 1/1 cnt_en = 1'b1; Tests: T12 T20 T30  183 // Go back to idle if either the trigger level is not active anymore, or if the 184 // detector is disabled. 185 1/1 if (!cfg_enable_i || !trigger_active) begin Tests: T12 T20 T30  186 1/1 state_d = IdleSt; Tests: T12 T30 T31  187 1/1 cnt_clr = 1'b1; Tests: T12 T30 T31  188 // If the trigger is active, count up. 189 end else begin 190 1/1 if (cnt_done) begin Tests: T12 T20 T30  191 1/1 state_d = StableSt; Tests: T12 T20 T42  192 1/1 cnt_clr = 1'b1; Tests: T12 T20 T42  193 1/1 event_detected_o = 1'b1; Tests: T12 T20 T42  194 1/1 event_detected_pulse_o = 1'b1; Tests: T12 T20 T42  195 end MISSING_ELSE 196 end 197 end 198 //////////////////////////////////////////// 199 // At this point we have detected the event 200 // and monitor whether the signal remains stable. 201 StableSt: begin 202 // Go back to idle if either the trigger level is not active anymore, or if the detector is 203 // disabled. Note that if the detector is sticky, it has to be explicitly disabled in order 204 // to go back to the idle state. 205 1/1 if (!cfg_enable_i || (!trigger_active && !Sticky)) begin Tests: T12 T20 T42  206 1/1 state_d = IdleSt; Tests: T12 T20 T42  207 // Otherwise keep the event detected output signal high. 208 end else begin 209 1/1 event_detected_o = 1'b1; Tests: T12 T20 T42  210 end 211 end 212 //////////////////////////////////////////// 213 // This is a full case statement 214 default: ; Exclude Annotation: VC_COV_UNR 215 endcase // state_q 216 end 217 218 always_ff @(posedge clk_i or negedge rst_ni) begin : p_fsm_reg 219 1/1 if (!rst_ni) begin Tests: T1 T4 T5  220 1/1 state_q <= IdleSt; Tests: T1 T4 T5  221 end else begin 222 1/1 state_q <= state_d; Tests: T1 T4 T5 

Cond Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre
TotalCoveredPercent
Conditions1919100.00
Logical1919100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT12,T20,T30
1CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT12,T20,T30

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT12,T20,T30

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT12,T20,T30

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT12,T20,T30
10CoveredT12,T20,T42
11CoveredT12,T20,T30

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT12,T20,T30
01CoveredT12,T30,T31
10CoveredT12,T55,T92

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT12,T20,T42
01CoveredT12,T20,T42
10CoveredT107

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT12,T20,T42
1-CoveredT12,T20,T42

FSM Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T12,T20,T30
DetectSt 168 Covered T12,T20,T30
IdleSt 163 Covered T1,T4,T5
StableSt 191 Covered T12,T20,T42


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T12,T20,T30
DebounceSt->IdleSt 163 Covered T12,T55,T102
DetectSt->IdleSt 186 Covered T12,T30,T31
DetectSt->StableSt 191 Covered T12,T20,T42
IdleSt->DebounceSt 148 Covered T12,T20,T30
StableSt->IdleSt 206 Covered T12,T20,T42



Branch Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre
Line No.TotalCoveredPercent
Branches 20 20 100.00
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 11 11 100.00
IF 219 2 2 100.00


92 assign cnt_d = (cnt_clr) ? '0 : -1- ==> 93 (cnt_en) ? cnt_q + 1'b1 : -2- ==> ==>

Branches:
-1--2-StatusTests
1 - Covered T12,T20,T30
0 1 Covered T12,T20,T30
0 0 Covered T1,T4,T5


99 assign thresh = (thresh_sel) ? TimerWidth'(cfg_detect_timer_i) : -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T12,T20,T30
0 Covered T1,T4,T5


104 if (!rst_ni) begin -1- 105 cnt_q <= '0; ==> 106 end else begin 107 cnt_q <= cnt_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


140 unique case (state_q) -1- 141 //////////////////////////////////////////// 142 // We are waiting for the event to occur. 143 // This can be either a specific level or edge, 144 // depending on the configuration. 145 IdleSt: begin 146 // Stay here if the detector is disabled. 147 if (trigger_event && cfg_enable_i) begin -2- 148 state_d = DebounceSt; ==> 149 cnt_en = 1'b1; 150 end MISSING_ELSE ==> 151 end 152 //////////////////////////////////////////// 153 // If an event has occurred, we back off for 154 // the amount of debounce cycles configured. 155 // Once the timer has expired, we sample the 156 // signal again and check whether it has the 157 // correct level. If so, we move on to the 158 // detection stage, otherwise we fall back. 159 DebounceSt: begin 160 cnt_en = 1'b1; 161 // Unconditionally go back to idle if the detector is disabled. 162 if (!cfg_enable_i) begin -3- 163 state_d = IdleSt; ==> 164 cnt_clr = 1'b1; 165 end else if (cnt_done) begin -4- 166 cnt_clr = 1'b1; 167 if (trigger_active) begin -5- 168 state_d = DetectSt; ==> 169 end else begin 170 state_d = IdleSt; ==> 171 end 172 end MISSING_ELSE ==> 173 end 174 //////////////////////////////////////////// 175 // Once the debounce period has passed, we 176 // check whether the signal remains stable 177 // throughout the entire detection period. 178 // If it is not stable at any cycle, we fall 179 // back to idle. 180 DetectSt: begin 181 thresh_sel = 1'b1; 182 cnt_en = 1'b1; 183 // Go back to idle if either the trigger level is not active anymore, or if the 184 // detector is disabled. 185 if (!cfg_enable_i || !trigger_active) begin -6- 186 state_d = IdleSt; ==> 187 cnt_clr = 1'b1; 188 // If the trigger is active, count up. 189 end else begin 190 if (cnt_done) begin -7- 191 state_d = StableSt; ==> 192 cnt_clr = 1'b1; 193 event_detected_o = 1'b1; 194 event_detected_pulse_o = 1'b1; 195 end MISSING_ELSE ==> 196 end 197 end 198 //////////////////////////////////////////// 199 // At this point we have detected the event 200 // and monitor whether the signal remains stable. 201 StableSt: begin 202 // Go back to idle if either the trigger level is not active anymore, or if the detector is 203 // disabled. Note that if the detector is sticky, it has to be explicitly disabled in order 204 // to go back to the idle state. 205 if (!cfg_enable_i || (!trigger_active && !Sticky)) begin -8- 206 state_d = IdleSt; ==> 207 // Otherwise keep the event detected output signal high. 208 end else begin 209 event_detected_o = 1'b1; ==> 210 end 211 end 212 //////////////////////////////////////////// 213 // This is a full case statement 214 default: ; ==> (Excluded) Exclude Annotation: VC_COV_UNR

Branches:
-1--2--3--4--5--6--7--8-StatusTestsExclude Annotation
IdleSt 1 - - - - - - Covered T12,T20,T30
IdleSt 0 - - - - - - Covered T12,T20,T30
DebounceSt - 1 - - - - - Covered T12,T55
DebounceSt - 0 1 1 - - - Covered T12,T20,T30
DebounceSt - 0 1 0 - - - Covered T12,T55,T102
DebounceSt - 0 0 - - - - Covered T12,T20,T30
DetectSt - - - - 1 - - Covered T12,T30,T31
DetectSt - - - - 0 1 - Covered T12,T20,T42
DetectSt - - - - 0 0 - Covered T12,T20,T30
StableSt - - - - - - 1 Covered T12,T20,T42
StableSt - - - - - - 0 Covered T12,T20,T42
default - - - - - - - Excluded VC_COV_UNR


219 if (!rst_ni) begin -1- 220 state_q <= IdleSt; ==> 221 end else begin 222 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


Assert Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect_pre
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 16 16 100.00 16 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 16 16 100.00 16 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 7365022 2936 0 0
CntIncr_A 7365022 91640 0 0
CntNoWrap_A 7365022 6890708 0 0
DetectStDropOut_A 7365022 404 0 0
DetectedOut_A 7365022 54972 0 0
DetectedPulseOut_A 7365022 793 0 0
DisabledIdleSt_A 7365022 6488621 0 0
DisabledNoDetection_A 7365022 6490313 0 0
EnterDebounceSt_A 7365022 1476 0 0
EnterDetectSt_A 7365022 1460 0 0
EnterStableSt_A 7365022 793 0 0
PulseIsPulse_A 7365022 793 0 0
StayInStableSt 7365022 54103 0 0
gen_high_event_sva.HighLevelEvent_A 7365022 6895503 0 0
gen_high_level_sva.HighLevelEvent_A 7365022 6895503 0 0
gen_not_sticky_sva.StableStDropOut_A 7365022 706 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 2936 0 0
T12 7268 17 0 0
T19 1687 0 0 0
T20 8546 52 0 0
T30 0 20 0 0
T31 0 26 0 0
T38 454044 0 0 0
T42 0 58 0 0
T55 0 16 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T92 0 56 0 0
T93 0 54 0 0
T94 0 20 0 0
T95 0 20 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 91640 0 0
T12 7268 458 0 0
T19 1687 0 0 0
T20 8546 1170 0 0
T30 0 503 0 0
T31 0 734 0 0
T38 454044 0 0 0
T42 0 1943 0 0
T55 0 405 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T92 0 2763 0 0
T93 0 1462 0 0
T94 0 519 0 0
T95 0 468 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6890708 0 0
T1 505 104 0 0
T2 483 82 0 0
T4 447 46 0 0
T5 496 95 0 0
T13 422 21 0 0
T14 523 122 0 0
T15 708 307 0 0
T16 674 273 0 0
T17 1522 41 0 0
T18 497 96 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 404 0 0
T12 7268 1 0 0
T19 1687 0 0 0
T20 8546 0 0 0
T30 0 10 0 0
T31 0 13 0 0
T38 454044 0 0 0
T55 0 1 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T92 0 11 0 0
T93 0 27 0 0
T94 0 10 0 0
T102 0 10 0 0
T221 0 15 0 0
T233 0 18 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 54972 0 0
T12 7268 455 0 0
T19 1687 0 0 0
T20 8546 1418 0 0
T38 454044 0 0 0
T41 0 465 0 0
T42 0 2920 0 0
T55 0 329 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T222 0 1426 0 0
T223 0 2053 0 0
T224 0 1231 0 0
T232 0 738 0 0
T261 0 1180 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 793 0 0
T12 7268 5 0 0
T19 1687 0 0 0
T20 8546 26 0 0
T38 454044 0 0 0
T41 0 6 0 0
T42 0 29 0 0
T55 0 5 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T222 0 11 0 0
T223 0 29 0 0
T224 0 21 0 0
T232 0 8 0 0
T261 0 13 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6488621 0 0
T1 505 104 0 0
T2 483 82 0 0
T4 447 46 0 0
T5 496 95 0 0
T13 422 21 0 0
T14 523 122 0 0
T15 708 307 0 0
T16 674 273 0 0
T17 1522 41 0 0
T18 497 96 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6490313 0 0
T1 505 105 0 0
T2 483 83 0 0
T4 447 47 0 0
T5 496 96 0 0
T13 422 22 0 0
T14 523 123 0 0
T15 708 308 0 0
T16 674 274 0 0
T17 1522 47 0 0
T18 497 97 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 1476 0 0
T12 7268 10 0 0
T19 1687 0 0 0
T20 8546 26 0 0
T30 0 10 0 0
T31 0 13 0 0
T38 454044 0 0 0
T42 0 29 0 0
T55 0 9 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T92 0 28 0 0
T93 0 27 0 0
T94 0 10 0 0
T95 0 10 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 1460 0 0
T12 7268 7 0 0
T19 1687 0 0 0
T20 8546 26 0 0
T30 0 10 0 0
T31 0 13 0 0
T38 454044 0 0 0
T42 0 29 0 0
T55 0 7 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T92 0 28 0 0
T93 0 27 0 0
T94 0 10 0 0
T95 0 10 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 793 0 0
T12 7268 5 0 0
T19 1687 0 0 0
T20 8546 26 0 0
T38 454044 0 0 0
T41 0 6 0 0
T42 0 29 0 0
T55 0 5 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T222 0 11 0 0
T223 0 29 0 0
T224 0 21 0 0
T232 0 8 0 0
T261 0 13 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 793 0 0
T12 7268 5 0 0
T19 1687 0 0 0
T20 8546 26 0 0
T38 454044 0 0 0
T41 0 6 0 0
T42 0 29 0 0
T55 0 5 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T222 0 11 0 0
T223 0 29 0 0
T224 0 21 0 0
T232 0 8 0 0
T261 0 13 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 54103 0 0
T12 7268 450 0 0
T19 1687 0 0 0
T20 8546 1392 0 0
T38 454044 0 0 0
T41 0 458 0 0
T42 0 2889 0 0
T55 0 324 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T222 0 1409 0 0
T223 0 2024 0 0
T224 0 1208 0 0
T232 0 728 0 0
T261 0 1165 0 0

gen_high_event_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6895503 0 0
T1 505 105 0 0
T2 483 83 0 0
T4 447 47 0 0
T5 496 96 0 0
T13 422 22 0 0
T14 523 123 0 0
T15 708 308 0 0
T16 674 274 0 0
T17 1522 47 0 0
T18 497 97 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6895503 0 0
T1 505 105 0 0
T2 483 83 0 0
T4 447 47 0 0
T5 496 96 0 0
T13 422 22 0 0
T14 523 123 0 0
T15 708 308 0 0
T16 674 274 0 0
T17 1522 47 0 0
T18 497 97 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 706 0 0
T12 7268 5 0 0
T19 1687 0 0 0
T20 8546 26 0 0
T38 454044 0 0 0
T41 0 5 0 0
T42 0 27 0 0
T55 0 5 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T222 0 5 0 0
T223 0 29 0 0
T224 0 19 0 0
T232 0 6 0 0
T261 0 11 0 0

Line Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect
Line No.TotalCoveredPercent
TOTAL4646100.00
CONT_ASSIGN6011100.00
ALWAYS6933100.00
CONT_ASSIGN7611100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10111100.00
ALWAYS10433100.00
ALWAYS1253232100.00
ALWAYS21933100.00

59 end else begin : gen_trigger_active_high 60 1/1 assign trigger_active = (trigger_i == 1'b1); Tests: T12 T20 T30  61 end 62 63 // In case of edge events, we also need to detect the transition. 64 logic trigger_event; 65 if (EventType inside {EdgeToLow, EdgeToHigh}) begin : gen_trigger_event_edge 66 // This flop is always active, no matter the enable state. 67 logic trigger_active_q; 68 always_ff @(posedge clk_i or negedge rst_ni) begin : p_trigger_reg 69 1/1 if (!rst_ni) begin Tests: T1 T4 T5  70 1/1 trigger_active_q <= 1'b0; Tests: T1 T4 T5  71 end else begin 72 1/1 trigger_active_q <= trigger_active; Tests: T1 T4 T5  73 end 74 end 75 76 1/1 assign trigger_event = trigger_active & ~trigger_active_q; Tests: T1 T4 T5  77 // In case of level events, the event is equal to the level being active. 78 end else begin : gen_trigger_event_level 79 assign trigger_event = trigger_active; 80 end 81 82 ///////////////// 83 // Timer Logic // 84 ///////////////// 85 86 // Take the maximum width of both timer values. 87 localparam int unsigned TimerWidth = 88 (DetectTimerWidth > DebounceTimerWidth) ? DetectTimerWidth : DebounceTimerWidth; 89 90 logic cnt_en, cnt_clr; 91 logic [TimerWidth-1:0] cnt_d, cnt_q; 92 1/1 assign cnt_d = (cnt_clr) ? '0 : Tests: T12 T20 T32  93 (cnt_en) ? cnt_q + 1'b1 : 94 cnt_q; 95 96 97 logic cnt_done, thresh_sel; 98 logic [TimerWidth-1:0] thresh; 99 1/1 assign thresh = (thresh_sel) ? TimerWidth'(cfg_detect_timer_i) : Tests: T1 T2 T3  100 TimerWidth'(cfg_debounce_timer_i); 101 1/1 assign cnt_done = (cnt_q >= thresh); Tests: T1 T2 T3  102 103 always_ff @(posedge clk_i or negedge rst_ni) begin : p_cnt_reg 104 1/1 if (!rst_ni) begin Tests: T1 T4 T5  105 1/1 cnt_q <= '0; Tests: T1 T4 T5  106 end else begin 107 1/1 cnt_q <= cnt_d; Tests: T1 T4 T5  108 end 109 end 110 111 ///////// 112 // FSM // 113 ///////// 114 115 typedef enum logic [1:0] { 116 IdleSt, 117 DebounceSt, 118 DetectSt, 119 StableSt 120 } state_t; 121 122 state_t state_d, state_q; 123 124 always_comb begin : p_fsm 125 1/1 state_d = state_q; Tests: T1 T4 T5  126 127 // Counter controls (clear has priority). 128 1/1 cnt_clr = 1'b0; Tests: T1 T4 T5  129 1/1 cnt_en = 1'b0; Tests: T1 T4 T5  130 131 // Detected outputs 132 1/1 event_detected_o = 1'b0; Tests: T1 T4 T5  133 1/1 event_detected_pulse_o = 1'b0; Tests: T1 T4 T5  134 135 // Threshold select 136 // 0: debounce 137 // 1: detect 138 1/1 thresh_sel = 1'b0; Tests: T1 T4 T5  139 140 1/1 unique case (state_q) Tests: T1 T4 T5  141 //////////////////////////////////////////// 142 // We are waiting for the event to occur. 143 // This can be either a specific level or edge, 144 // depending on the configuration. 145 IdleSt: begin 146 // Stay here if the detector is disabled. 147 1/1 if (trigger_event && cfg_enable_i) begin Tests: T1 T4 T5  148 1/1 state_d = DebounceSt; Tests: T12 T20 T32  149 1/1 cnt_en = 1'b1; Tests: T12 T20 T32  150 end MISSING_ELSE 151 end 152 //////////////////////////////////////////// 153 // If an event has occurred, we back off for 154 // the amount of debounce cycles configured. 155 // Once the timer has expired, we sample the 156 // signal again and check whether it has the 157 // correct level. If so, we move on to the 158 // detection stage, otherwise we fall back. 159 DebounceSt: begin 160 1/1 cnt_en = 1'b1; Tests: T12 T20 T32  161 // Unconditionally go back to idle if the detector is disabled. 162 1/1 if (!cfg_enable_i) begin Tests: T12 T20 T32  163 1/1 state_d = IdleSt; Tests: T12 T55  164 1/1 cnt_clr = 1'b1; Tests: T12 T55  165 1/1 end else if (cnt_done) begin Tests: T12 T20 T32  166 1/1 cnt_clr = 1'b1; Tests: T12 T20 T32  167 1/1 if (trigger_active) begin Tests: T12 T20 T32  168 1/1 state_d = DetectSt; Tests: T12 T20 T32  169 end else begin 170 1/1 state_d = IdleSt; Tests: T43 T44 T234  171 end 172 end MISSING_ELSE 173 end 174 //////////////////////////////////////////// 175 // Once the debounce period has passed, we 176 // check whether the signal remains stable 177 // throughout the entire detection period. 178 // If it is not stable at any cycle, we fall 179 // back to idle. 180 DetectSt: begin 181 1/1 thresh_sel = 1'b1; Tests: T12 T20 T32  182 1/1 cnt_en = 1'b1; Tests: T12 T20 T32  183 // Go back to idle if either the trigger level is not active anymore, or if the 184 // detector is disabled. 185 1/1 if (!cfg_enable_i || !trigger_active) begin Tests: T12 T20 T32  186 1/1 state_d = IdleSt; Tests: T12 T32 T55  187 1/1 cnt_clr = 1'b1; Tests: T12 T32 T55  188 // If the trigger is active, count up. 189 end else begin 190 1/1 if (cnt_done) begin Tests: T12 T20 T32  191 1/1 state_d = StableSt; Tests: T12 T20 T42  192 1/1 cnt_clr = 1'b1; Tests: T12 T20 T42  193 1/1 event_detected_o = 1'b1; Tests: T12 T20 T42  194 1/1 event_detected_pulse_o = 1'b1; Tests: T12 T20 T42  195 end MISSING_ELSE 196 end 197 end 198 //////////////////////////////////////////// 199 // At this point we have detected the event 200 // and monitor whether the signal remains stable. 201 StableSt: begin 202 // Go back to idle if either the trigger level is not active anymore, or if the detector is 203 // disabled. Note that if the detector is sticky, it has to be explicitly disabled in order 204 // to go back to the idle state. 205 1/1 if (!cfg_enable_i || (!trigger_active && !Sticky)) begin Tests: T12 T20 T42  206 1/1 state_d = IdleSt; Tests: T12 T20 T42  207 // Otherwise keep the event detected output signal high. 208 end else begin 209 1/1 event_detected_o = 1'b1; Tests: T12 T20 T42  210 end 211 end 212 //////////////////////////////////////////// 213 // This is a full case statement 214 default: ; Exclude Annotation: VC_COV_UNR 215 endcase // state_q 216 end 217 218 always_ff @(posedge clk_i or negedge rst_ni) begin : p_fsm_reg 219 1/1 if (!rst_ni) begin Tests: T1 T4 T5  220 1/1 state_q <= IdleSt; Tests: T1 T4 T5  221 end else begin 222 1/1 state_q <= state_d; Tests: T1 T4 T5 

Cond Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect
TotalCoveredPercent
Conditions2222100.00
Logical2222100.00
Non-Logical00
Event00

 LINE       60
 EXPRESSION (trigger_i == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT12,T20,T30
1CoveredT1,T4,T5

 LINE       76
 EXPRESSION (trigger_active & ((~gen_trigger_event_edge.trigger_active_q)))
             -------1------   ----------------------2---------------------
-1--2-StatusTests
01CoveredT12,T20,T30
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       92
 EXPRESSION (cnt_clr ? '0 : (cnt_en ? ((cnt_q + 1'b1)) : cnt_q))
             ---1---
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT12,T20,T32

 LINE       92
 SUB-EXPRESSION (cnt_en ? ((cnt_q + 1'b1)) : cnt_q)
                 ---1--
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT12,T20,T32

 LINE       99
 EXPRESSION (thresh_sel ? (32'(cfg_detect_timer_i)) : (32'(cfg_debounce_timer_i)))
             -----1----
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT12,T20,T32

 LINE       147
 EXPRESSION (trigger_event && cfg_enable_i)
             ------1------    ------2-----
-1--2-StatusTests
01CoveredT12,T20,T32
10CoveredT17,T87,T103
11CoveredT12,T20,T32

 LINE       185
 EXPRESSION (((!cfg_enable_i)) || ((!trigger_active)))
             --------1--------    ---------2---------
-1--2-StatusTests
00CoveredT12,T20,T32
01CoveredT32,T39,T235
10CoveredT12,T55

 LINE       205
 EXPRESSION (((!cfg_enable_i)) || (((!trigger_active)) && ((!Sticky))))
             --------1--------    ------------------2-----------------
-1--2-StatusTests
00CoveredT12,T20,T42
01CoveredT20,T42,T43
10CoveredT20,T55

 LINE       205
 SUB-EXPRESSION (((!trigger_active)) && ((!Sticky)))
                 ---------1---------    -----2-----
-1--2-StatusTests
0-CoveredT12,T20,T42
1-CoveredT12,T20,T42

FSM Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect
Summary for FSM :: state_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
DebounceSt 148 Covered T12,T20,T32
DetectSt 168 Covered T12,T20,T32
IdleSt 163 Covered T1,T4,T5
StableSt 191 Covered T12,T20,T42


transitionsLine No.CoveredTests
DebounceSt->DetectSt 168 Covered T12,T20,T32
DebounceSt->IdleSt 163 Covered T12,T55,T43
DetectSt->IdleSt 186 Covered T12,T32,T55
DetectSt->StableSt 191 Covered T12,T20,T42
IdleSt->DebounceSt 148 Covered T12,T20,T32
StableSt->IdleSt 206 Covered T12,T20,T42



Branch Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect
Line No.TotalCoveredPercent
Branches 22 22 100.00
TERNARY 92 3 3 100.00
TERNARY 99 2 2 100.00
IF 104 2 2 100.00
CASE 140 11 11 100.00
IF 219 2 2 100.00
IF 69 2 2 100.00


92 assign cnt_d = (cnt_clr) ? '0 : -1- ==> 93 (cnt_en) ? cnt_q + 1'b1 : -2- ==> ==>

Branches:
-1--2-StatusTests
1 - Covered T12,T20,T32
0 1 Covered T12,T20,T32
0 0 Covered T1,T4,T5


99 assign thresh = (thresh_sel) ? TimerWidth'(cfg_detect_timer_i) : -1- ==> ==>

Branches:
-1-StatusTests
1 Covered T12,T20,T32
0 Covered T1,T4,T5


104 if (!rst_ni) begin -1- 105 cnt_q <= '0; ==> 106 end else begin 107 cnt_q <= cnt_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


140 unique case (state_q) -1- 141 //////////////////////////////////////////// 142 // We are waiting for the event to occur. 143 // This can be either a specific level or edge, 144 // depending on the configuration. 145 IdleSt: begin 146 // Stay here if the detector is disabled. 147 if (trigger_event && cfg_enable_i) begin -2- 148 state_d = DebounceSt; ==> 149 cnt_en = 1'b1; 150 end MISSING_ELSE ==> 151 end 152 //////////////////////////////////////////// 153 // If an event has occurred, we back off for 154 // the amount of debounce cycles configured. 155 // Once the timer has expired, we sample the 156 // signal again and check whether it has the 157 // correct level. If so, we move on to the 158 // detection stage, otherwise we fall back. 159 DebounceSt: begin 160 cnt_en = 1'b1; 161 // Unconditionally go back to idle if the detector is disabled. 162 if (!cfg_enable_i) begin -3- 163 state_d = IdleSt; ==> 164 cnt_clr = 1'b1; 165 end else if (cnt_done) begin -4- 166 cnt_clr = 1'b1; 167 if (trigger_active) begin -5- 168 state_d = DetectSt; ==> 169 end else begin 170 state_d = IdleSt; ==> 171 end 172 end MISSING_ELSE ==> 173 end 174 //////////////////////////////////////////// 175 // Once the debounce period has passed, we 176 // check whether the signal remains stable 177 // throughout the entire detection period. 178 // If it is not stable at any cycle, we fall 179 // back to idle. 180 DetectSt: begin 181 thresh_sel = 1'b1; 182 cnt_en = 1'b1; 183 // Go back to idle if either the trigger level is not active anymore, or if the 184 // detector is disabled. 185 if (!cfg_enable_i || !trigger_active) begin -6- 186 state_d = IdleSt; ==> 187 cnt_clr = 1'b1; 188 // If the trigger is active, count up. 189 end else begin 190 if (cnt_done) begin -7- 191 state_d = StableSt; ==> 192 cnt_clr = 1'b1; 193 event_detected_o = 1'b1; 194 event_detected_pulse_o = 1'b1; 195 end MISSING_ELSE ==> 196 end 197 end 198 //////////////////////////////////////////// 199 // At this point we have detected the event 200 // and monitor whether the signal remains stable. 201 StableSt: begin 202 // Go back to idle if either the trigger level is not active anymore, or if the detector is 203 // disabled. Note that if the detector is sticky, it has to be explicitly disabled in order 204 // to go back to the idle state. 205 if (!cfg_enable_i || (!trigger_active && !Sticky)) begin -8- 206 state_d = IdleSt; ==> 207 // Otherwise keep the event detected output signal high. 208 end else begin 209 event_detected_o = 1'b1; ==> 210 end 211 end 212 //////////////////////////////////////////// 213 // This is a full case statement 214 default: ; ==> (Excluded) Exclude Annotation: VC_COV_UNR

Branches:
-1--2--3--4--5--6--7--8-StatusTestsExclude Annotation
IdleSt 1 - - - - - - Covered T12,T20,T32
IdleSt 0 - - - - - - Covered T1,T4,T5
DebounceSt - 1 - - - - - Covered T12,T55
DebounceSt - 0 1 1 - - - Covered T12,T20,T32
DebounceSt - 0 1 0 - - - Covered T43,T44,T234
DebounceSt - 0 0 - - - - Covered T12,T20,T32
DetectSt - - - - 1 - - Covered T12,T32,T55
DetectSt - - - - 0 1 - Covered T12,T20,T42
DetectSt - - - - 0 0 - Covered T12,T20,T32
StableSt - - - - - - 1 Covered T12,T20,T42
StableSt - - - - - - 0 Covered T12,T20,T42
default - - - - - - - Excluded VC_COV_UNR


219 if (!rst_ni) begin -1- 220 state_q <= IdleSt; ==> 221 end else begin 222 state_q <= state_d; ==>

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


69 if (!rst_ni) begin -1- 70 trigger_active_q <= 1'b0; ==> 71 end else begin 72 trigger_active_q <= trigger_active; ==>

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T4,T5


Assert Coverage for Instance : tb.dut.u_sysrst_ctrl_combo.gen_combo_trigger[3].u_sysrst_ctrl_detect
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 15 15 100.00 15 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 15 15 100.00 15 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
CntClr_A 7365022 770 0 0
CntIncr_A 7365022 45557 0 0
CntNoWrap_A 7365022 6892874 0 0
DetectStDropOut_A 7365022 60 0 0
DetectedOut_A 7365022 12364 0 0
DetectedPulseOut_A 7365022 305 0 0
DisabledIdleSt_A 7365022 6549431 0 0
DisabledNoDetection_A 7365022 6550640 0 0
EnterDebounceSt_A 7365022 401 0 0
EnterDetectSt_A 7365022 369 0 0
EnterStableSt_A 7365022 305 0 0
PulseIsPulse_A 7365022 305 0 0
StayInStableSt 7365022 12046 0 0
gen_high_level_sva.HighLevelEvent_A 7365022 6895503 0 0
gen_not_sticky_sva.StableStDropOut_A 7365022 287 0 0


CntClr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 770 0 0
T12 7268 8 0 0
T19 1687 0 0 0
T20 8546 10 0 0
T32 0 2 0 0
T38 454044 0 0 0
T39 0 10 0 0
T42 0 4 0 0
T43 0 13 0 0
T44 0 15 0 0
T55 0 8 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T223 0 8 0 0
T235 0 4 0 0

CntIncr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 45557 0 0
T12 7268 294 0 0
T19 1687 0 0 0
T20 8546 320 0 0
T32 0 171 0 0
T38 454044 0 0 0
T39 0 628 0 0
T42 0 104 0 0
T43 0 260 0 0
T44 0 897 0 0
T55 0 150 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T223 0 248 0 0
T235 0 269 0 0

CntNoWrap_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6892874 0 0
T1 505 104 0 0
T2 483 82 0 0
T4 447 46 0 0
T5 496 95 0 0
T13 422 21 0 0
T14 523 122 0 0
T15 708 307 0 0
T16 674 273 0 0
T17 1522 41 0 0
T18 497 96 0 0

DetectStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 60 0 0
T32 15273 1 0 0
T39 0 5 0 0
T42 14065 0 0 0
T47 609 0 0 0
T54 608 0 0 0
T79 141205 0 0 0
T117 0 11 0 0
T187 521 0 0 0
T188 768 0 0 0
T189 502 0 0 0
T190 454 0 0 0
T235 0 2 0 0
T239 0 1 0 0
T254 0 14 0 0
T257 0 2 0 0
T260 8422 0 0 0
T262 0 6 0 0
T263 0 11 0 0
T264 0 1 0 0

DetectedOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 12364 0 0
T12 7268 88 0 0
T19 1687 0 0 0
T20 8546 445 0 0
T38 454044 0 0 0
T42 0 107 0 0
T43 0 215 0 0
T44 0 30 0 0
T55 0 111 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T104 0 125 0 0
T223 0 202 0 0
T234 0 5 0 0
T251 0 22 0 0

DetectedPulseOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 305 0 0
T12 7268 1 0 0
T19 1687 0 0 0
T20 8546 5 0 0
T38 454044 0 0 0
T42 0 2 0 0
T43 0 6 0 0
T44 0 7 0 0
T55 0 1 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T104 0 6 0 0
T223 0 4 0 0
T234 0 1 0 0
T251 0 2 0 0

DisabledIdleSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6549431 0 0
T1 505 104 0 0
T2 483 82 0 0
T4 447 46 0 0
T5 496 95 0 0
T13 422 21 0 0
T14 523 122 0 0
T15 708 307 0 0
T16 674 273 0 0
T17 1522 41 0 0
T18 497 96 0 0

DisabledNoDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6550640 0 0
T1 505 105 0 0
T2 483 83 0 0
T4 447 47 0 0
T5 496 96 0 0
T13 422 22 0 0
T14 523 123 0 0
T15 708 308 0 0
T16 674 274 0 0
T17 1522 47 0 0
T18 497 97 0 0

EnterDebounceSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 401 0 0
T12 7268 5 0 0
T19 1687 0 0 0
T20 8546 5 0 0
T32 0 1 0 0
T38 454044 0 0 0
T39 0 5 0 0
T42 0 2 0 0
T43 0 7 0 0
T44 0 8 0 0
T55 0 5 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T223 0 4 0 0
T235 0 2 0 0

EnterDetectSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 369 0 0
T12 7268 3 0 0
T19 1687 0 0 0
T20 8546 5 0 0
T32 0 1 0 0
T38 454044 0 0 0
T39 0 5 0 0
T42 0 2 0 0
T43 0 6 0 0
T44 0 7 0 0
T55 0 3 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T223 0 4 0 0
T235 0 2 0 0

EnterStableSt_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 305 0 0
T12 7268 1 0 0
T19 1687 0 0 0
T20 8546 5 0 0
T38 454044 0 0 0
T42 0 2 0 0
T43 0 6 0 0
T44 0 7 0 0
T55 0 1 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T104 0 6 0 0
T223 0 4 0 0
T234 0 1 0 0
T251 0 2 0 0

PulseIsPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 305 0 0
T12 7268 1 0 0
T19 1687 0 0 0
T20 8546 5 0 0
T38 454044 0 0 0
T42 0 2 0 0
T43 0 6 0 0
T44 0 7 0 0
T55 0 1 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T104 0 6 0 0
T223 0 4 0 0
T234 0 1 0 0
T251 0 2 0 0

StayInStableSt
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 12046 0 0
T12 7268 87 0 0
T19 1687 0 0 0
T20 8546 440 0 0
T38 454044 0 0 0
T42 0 105 0 0
T43 0 209 0 0
T44 0 23 0 0
T55 0 110 0 0
T66 448 0 0 0
T67 404 0 0 0
T68 2219 0 0 0
T80 494 0 0 0
T89 507 0 0 0
T90 525 0 0 0
T104 0 119 0 0
T223 0 198 0 0
T234 0 4 0 0
T251 0 20 0 0

gen_high_level_sva.HighLevelEvent_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 6895503 0 0
T1 505 105 0 0
T2 483 83 0 0
T4 447 47 0 0
T5 496 96 0 0
T13 422 22 0 0
T14 523 123 0 0
T15 708 308 0 0
T16 674 274 0 0
T17 1522 47 0 0
T18 497 97 0 0

gen_not_sticky_sva.StableStDropOut_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 7365022 287 0 0
T19 1687 0 0 0
T20 8546 4 0 0
T38 454044 0 0 0
T42 0 2 0 0
T43 0 6 0 0
T44 0 7 0 0
T57 438 0 0 0
T80 494 0 0 0
T91 461 0 0 0
T104 0 6 0 0
T142 746 0 0 0
T143 422 0 0 0
T144 1100 0 0 0
T145 405 0 0 0
T223 0 4 0 0
T232 0 3 0 0
T234 0 1 0 0
T236 0 4 0 0
T251 0 2 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%